• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,341-1,360 / 2,056건

  • 논리회로설계실험 D-FF , 8bit Register 설계
    ;architecture behave of tb_dff issignal s_d, s_q : std_logic;signal s_clk:std_logic:=`0`;signal s_q1 : s ... ;end if;end process;end behave;library IEEE;use IEEE.std_logic_1164.all;entity tb_dff isend tb_dff
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 환경파괴에 관한 영어발표, 레포트, 영어토론
    the killers to swift justice, and send a signal throughout the region / that Indians can no longer be ... -year legal process, 10the Venezuelan state / agreed to the Inter-American Commission / on Human Rights
    리포트 | 2페이지 | 1,000원 | 등록일 2014.02.23
  • ASCB mini symposium 4
    extracellular signals to a diverse range of cellular responses such as proliferation, differentiation ... been shown to play important roles in regulating diverse biological processes, including cell growth
    리포트 | 9페이지 | 1,000원 | 등록일 2012.04.24
  • 판매자 표지 자료 표지
    정보응용실험 - Library, Package, Procedure, Function Report
    와 begin사이, (3) package 내에 작성할 수 있으나 signal을 매개변수로 사용할 수 없다. ... 와 같이 매개변수의 길이를 지정할 필요가 없다. Function을 작성할 수 있는 곳은 procedure와 같이 (1) architecture와 begin사이, (2) process
    리포트 | 2페이지 | 1,500원 | 등록일 2013.06.08
  • 짝짓기에 대한 동물의 행동
    other little by little and they use distinctive signals each other. These signals are needed to be ... . Different fire flies can make different signal of light (the gaps between light and light). So, even ... though they are making signal of light at the same place, it wouldn’t be happened to fireflies to c
    리포트 | 6페이지 | 3,000원 | 등록일 2012.03.28
  • LPC계수를 이용한 음성인식 시스템
    error signal and used autocorrelation method. I got the pitch period by above process. Result is ... howch information. In case of Unvoiced signal, it's consisted of sound without vibration of cord so ... n time, signal is estimated by linear combination of past p times signal. It is. By z-transform
    리포트 | 11페이지 | 5,000원 | 등록일 2010.12.22
  • Integer Transform & Quantization
    signal process technology is a kind of technology for transmitting voice informations and stop ... images as well as image signals. The most important point of the technology is that how much information ... makeprediction signal from past picture alike existing video encoding method.And H.264 Standard use
    리포트 | 8페이지 | 2,000원 | 등록일 2010.10.28
  • 위치 인식 방법 및 시스템
    omputed position location table and location information signals with successively varying signal strength ... , without receiving a position location table, sends a coordinates request signal to a reference node. The ... , including: transmitting, by the device node, a location information request signal; transmitting, by
    리포트 | 6페이지 | 1,000원 | 등록일 2010.09.27
  • thermal conductivity 실험 레포트.
    temperature of the material measured does not change with time. This makes the signal analysis s ... traightforward (steady state implies constant signals). The disadvantage is that a well-engineered experimental ... onsolidated rock samples.The transient techniques perform a measurement during the process of heating up
    리포트 | 9페이지 | 1,000원 | 등록일 2010.12.08
  • VHDL를 이용한 디지털 시계
    를 통해 0~9까지의 숫자를 선언할 수 있다. seg는 7segment를 선언해줄 내부 signal이다.③ Architecture 부분-여러 개의 process문으로 구성된다.- 내부 ... 제어의 변화를 고려하여 설계한다.- 평소보다 많은 내부 signal를 사용해야 되므로 정확한 명칭으로 헷갈리지 않게 한다.- KIT에 표시 되는 각 자리에서 CLOCK의 분기과정 ... 하고, Output으로 사용될 DIGIT를 ( 6 downt0 1)로 표기해주고, segment를 A, B, C, D, E, F, G, DP를 입력한다.② 내부 signal을 선언- 각 시
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • 카페인 분석법과 디카페인 커피 제조공법
    )③시료를 주입하기 위한 주입장치(Injector)④혼합된 시료의 분배가 일어나는 컬럼(Column)⑤성분의 검출을 위한 검출기(Detector)⑥기기제어 및 시그널 수집 분석하는 제어 ... process)라고도 불리는 방법이다. 1906년 세계 최초로 독일에서 개발되었다. 증기로 팽윤시킨 커피 생두를 추출 조에 채우고 유기용매를 통과시켜 카페인을 추출한다. 수분이 충분히 존재 ... 과 유기용매가 직접 생두와 접촉한다는 점에서 소비자들이 안전성에 불안을 느낄 수 있다는 점이 단점이다.?물추출워터 메서드(water method, water process) 또는 스위스
    리포트 | 13페이지 | 3,000원 | 등록일 2016.08.07
  • VHDL로 작성한 계산기의 소스 파일 입니다.
    바랍니다. signal의 비트수도 잘 확인하셔야 합니다. 계층적 구조로 이루어져 있기 때문에 그 구조 또한 잘 살피셔야합니다. 예를 들어서 controller 모듈 안에는 숫자 ... ;reset:in std_logic; input_v :in std_logic;output_v:out std_logic );end component;signal ... num_v : std_logic;signal op_v : std_logic;signal num_v_out : std_logic;signal op_v_out : std_logic
    리포트 | 30페이지 | 15,000원 | 등록일 2008.03.30 | 수정일 2024.10.01
  • 전기전자응용실험 Chapter 9-12 Design Project: Line Tracer Pre-report
    configuration of the motor enable signal. If enable was always on, the motor could not be stopped ... movements more smooth at curve line. Another thing to think about is signals that are transferred. If ... . Using amplifier from sensor to CPU process can makes similar effect to improve the accuracy of line
    리포트 | 11페이지 | 1,500원 | 등록일 2012.08.05
  • 디지털통신 프로젝트(QPSK와 PPM비교)
    dB로 충분히 크기 때문에 수신 신호(received signal)가 원래 신호(original signal)과 거의 같음을 확인할 수 있다.3. PPM(1) PPM을 이용한 시뮬 ... signal)가 원래 신호(original signal)과 거의 같음을 확인할 수 있다.4. 결과 비교 및 분석- 디지털 통신 시스템의 성능 평가는 BER을 얼마나 효율적으로 사용 ... 았다.< Appendix >1. QPSK MATLAB codeclear all % start%--------------- generate the original signal ----------
    리포트 | 19페이지 | 10,000원 | 등록일 2011.11.12
  • Campbell Biology 17- gene to protein text
    signal-cleaving enzyme.3The SRP leaves, and the polypeptide resumes growing, meanwhile translocating ... across the membrane. (The signal peptide stays attached to the membrane.)4The signal- cleaving enzyme ... cuts off the signal peptide.5The rest of the completed polypeptide leaves the ribosome and folds
    리포트 | 70페이지 | 1,000원 | 등록일 2011.11.23
  • 판매자 표지 자료 표지
    [일반화학실험]화학 발광
    은 에너지를 가지고 더 안정하다. 따라서 fluorescence signal이 phosphorescence보다 훨씬 강하다. 본 실험으로 확인하게 될 화학발광 ... EPossible physical process following absorption of a photon by a molecule나. CyalumeIUPAC name
    리포트 | 10페이지 | 4,000원 | 등록일 2015.11.14 | 수정일 2020.08.01
  • 기아자동차 RFID 적용사례분석(영문레포트)
    , real-time signal processing module and protocol processorHost Server-Processing the data that read in ... process massive reader-generated tag dataOperation ManagementBar-code VS. RFIDOperation ManagementBar ... MotorsOperation ManagementProduction process analysisPress bodyCar assemblyCoatingoutfitting assemblyTest
    리포트 | 20페이지 | 3,300원 | 등록일 2012.02.23
  • VHDL를 이용한 LCD 설계
    , process문도 많아지고, 내부 signal도 많아졌다. 이번 출력 값은 50Hz로 출력하기 때문에 load_100k, clk_100k, cnt_100k를 사용하여 100k ... 의 변화에 따른 동작 제어의 변화를 고려하여 설계한다.- 평소보다 많은 내부 signal을 사용해야 되므로 정확한 명칭으로 헷갈리지 않게 한다.- KIT의 LCD에 조원의 학번 ... - Signal 선언architecture Behavioral of LCD_TEST issignal load_100k : std_logic;signal clk_100k : std
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 예비보고서#4&결과보고서#4
    along with a clock signal that causes each number to be latched in sequence, at which time the DAC ... of as numbers obtained from a sampling process. These numbers are written to the DAC, sometimes
    리포트 | 5페이지 | 1,000원 | 등록일 2011.06.23
  • vhdl을 이용한 xor 선택적, 조건적설계
    elport(a,b : in std_logic;xor_out : out std_logic);end component;signal a,b : std_logic;signal xor ... _out :std_logic;beginUO :xor_sel port map(a,b,xor_out);process begina ...
    리포트 | 2페이지 | 1,000원 | 등록일 2010.04.07
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감