• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,541-1,560 / 2,056건

  • validation & quality control (정도관리)
    on signal-to-noise Analytical procedures which exhibit baseline noise Compare measured signals from s ... documented program that provides a high degree of assurance that a specific process, method, or system will
    리포트 | 18페이지 | 2,500원 | 등록일 2010.10.14
  • 디자인매니지먼트
    chapter1. 디자인매니지먼트의 이해-디자인리더(vision) -> 디자인경영자(process) -> 디자이너(content)-디자인경영 : 디자인 중심의 기업운영을 위하 ... , 신뢰성, 커뮤니케이션(브랜드, 광고, 패키지, 슬로건, 로고)-기업 이미지형성을 위한 디자인 커뮤니케이션 과정정보의 근원지 -메세지-> 발신체 -시그널-> 소음/저항 -> 수신체
    리포트 | 6페이지 | 2,000원 | 등록일 2014.08.30
  • [VHDL] stopwatch 스톱워치 설계 / LPM Counter
    signal cnt : integer range 0 to 49; -- 내부 신호 설정signal clk1 : std_logic;beginprocess (clk_100hz) -- clk ... => direction, -- 양방향 계수기q => q); -- 연산값process (clk,q) -- 터미널 카운트beginif clk'event and clk = '1 ... lear, -- 비동기 클리어updown => direction, -- 양방향 계수기q => q); -- 연산값process (clk,q)begin -- 터미널 카운트if clk
    리포트 | 14페이지 | 1,000원 | 등록일 2009.02.08
  • 스마트 에어백 시스템
    inflator can control the volume of airbag as the signal of ECUReference TEXT 박서욱 , 한국자동차공학회 , 오토저널 ( 구 ... Block Diagram E CU Airbag System Process Sensor INPUT Actuator OUTPUT Sensor + - Dual-axis ... Principle General Block Diagram E CU Airbag System Process Sensor INPUT Actuator OUTPUT Sensor + - An engine
    리포트 | 13페이지 | 1,000원 | 등록일 2011.11.11
  • An Empirical Evaluation of Behavioral Models Based on Decompositions of Stock Prices 발표자료
    가 존재 기존 연구의 핵심 주제 : stock price 는 private information signals 에 overreact 하고 , public signals ... rates MAR process 를 따르는 first-differenced log dividends Δdr t (= Δd t -r t ) 는 tangible shocks 의 두 타입
    리포트 | 33페이지 | 3,000원 | 등록일 2010.06.21
  • [기계시스템][기계]기계시스템과 물류시스템, 기계시스템과 인공지능시스템, 기계시스템과 유연생산시스템, 기계시스템과 너클시스템, 기계시스템과 나노전기, 기계시스템과 인간공학 분석
    . FMS의 출현 배경과 위치1) 출현과정2) FMS의 위치2. FMS의 정의3. FMS의 구성요소1) Processing station(NC공작기계)2) 자동 반송시스템3) 중앙통제 ... JIT생산 방식의 예로 그룹 테크놀러지 수법을 사용한 후 공정 인수형 물류 관리 방식인 ‘시그널 방식’은 다품종 중량 생산의 자율적인 진도 관리 방식으로서, 간판 방식 등에서 실현 ... 가지 흐름, 즉 정보와 자재의 흐름을 통합하는 제조 시스템이라 할 수 있다.3. FMS의 구성요소1) Processing station(NC공작기계)여기서 말하는 NC공작기계란 일반
    리포트 | 10페이지 | 5,000원 | 등록일 2013.04.01
  • U.S. Treasuries Lose `Risk-Free` Luster 번역
    the recent troubling signals:Washington can concoct a crisis about something as mundane as the debt ... tep process for the dollar to lose its role as the global reserve currency; it could well be that this ... summer we have witnessed a few steps in that process. A government that puts the world's risk-free
    리포트 | 6페이지 | 10,000원 | 등록일 2011.08.11
  • VHDL mux와 demux를 signal을 이용하여 연결한 소스 및 파형
    process를 만나야만 대입이 완료되어 값이 확정된다. signal의 초기화에서는 값을 즉시 대입하여야 하는데, 이 경우 ` ... 1. signal, variable, Constant의 특징1) signalsignal은 VHDL 합성시에 선(wire)으로 구현되며, 각 부품(component)의 연결에 사용 ... 하는 방법과 port로 선언하는 다음의 2가지 방법이 있다. signal의 선언위치를 알아보면 port signal인 경우 entity내에 port로 선언하는 경우가 있으며, 그 외 signal의 선언은 architecture와 begin 사이에 선언한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.14
  • [프리젠테이션]무선 페이딩 (ppt)
    Small-scale fading. A mobile radio roaming over a large area must process signals that experience ... usually has a flat power spectral density over the signal band and a zero-mean Gaussian voltage ... propagating signal(or, equivalently, as having a reflection coefficient that is negligible
    리포트 | 68페이지 | 2,000원 | 등록일 2008.08.20
  • 음성인식의 원리및 응용분야 입니다.
    effects later in the signal processing = 음성신호가 저차시스템을 거치게 되는데 , 이것의 용도는 주파수 대역에서 신호를 평탄화하기 위해서이며 , 또한 ... ) The digitized speech signal, s(n), is put through a low-order digital system(typically a first-order ... FIR filter), to spectrally flatten the signal and to make it less susceptible to finite precision
    리포트 | 25페이지 | 1,000원 | 등록일 2009.06.01
  • IMAGE PROCESSING
    은 Image Reader에서 analog data를 광증배관을 거쳐 digital data 로 변화된 signal을 처리하는 과정을 나타낸 것이다.위 그림에서 보여지듯이 CR의 영상 ... 수행된다. 구체적으로 계조처리, 주파수처리 등이 있다.제 4 사분면 : IRC( Image Record )로 보내진 image signal은 다시 convert (A/D)되어 시각 ... IMAGE PROCESSING~ 목차 ~IMAGE PROCESSING 이란EDR(Exposure Data Recognizer)IMAGE PROCESSING
    리포트 | 13페이지 | 2,000원 | 등록일 2009.08.11
  • Human Life with Electronic devices
    . Later, the machine improved, using electric signal to process data. Until late 1940, all developed ... . Once machine read holes from the punch card, process data and showed results to user by mechanically ... number of tubes and relays were improved processing speed. For example, ENIAC calculates in 10 s
    리포트 | 11페이지 | 1,500원 | 등록일 2010.12.03
  • 샤워조절기 시스템 설계레포트
    ) input and output control signals.4. 설계를 하기 위한 가정 ⑴ 아파트의 중앙난방시스템과 각 집들의 난방 배관은 생활용수 배관과 무관하다. ⑵ 보일러 ... .www.armstrong-intl.com 2.Process systems analysis and control / 3rd edition / Donald R. coughanowr
    리포트 | 13페이지 | 3,500원 | 등록일 2009.12.31
  • What is the place of grammar in language teaching? How necessary is it for a teacher of English as a foreign language to have knowledge of English grammar?
    , but that does not change the social fact that some versions generally signal to us lower class and a ... lower level of education, while others signal higher class and a higher level of education. Thus it ... learning the L2. These empirical investigations have studied L2 learning processes within a sociocultural
    리포트 | 4페이지 | 1,000원 | 등록일 2010.09.09
  • [vhdl] 비동기카운터, asynchronos counter, testbench
    ;architecture behave of counter is signal temp_clk_cnt:std_logic_vector(2 downto 0):="000";beginprocess ... ; end process;process(clk,rst)begin if (rst=`0`) then clk_out ... _out; else temp_clk_cnt
    리포트 | 7페이지 | 1,500원 | 등록일 2008.06.14
  • 미국 기업 AOL, 미국 기업 인텔(Intel), 미국 기업 HP(휴렛팩커드), 미국 기업 야후, 미국 기업 MS(마이크로소프트), 미국 기업 아마존닷컴, 미국 기업 AT&T
    될 Amiga라는 새로운 컴퓨터 개발에 몰두하고 있었다. Amiga는 이용자들을 확보할 수 있는 놀랄만한 멀티미디어 용량을 제시하는 컴퓨터로Word Processing 이상의 ... 의 성공적인BPR(Business Process Reengineering) 기법연구 및 Benchmarking을 위해 국내의 대기업들은 물론, 정부, 교육 및 연구기관 등에서 연간 ... . H적하면서 이 거래의 결과 마이크로소프트사의 쌍방향(interactive) TV 개발에 속도가 붙을 것이라고 전망한다. 쌍방향 TV는 비디오 시그널과 인터넷을 가정에까지 연결
    리포트 | 12페이지 | 5,000원 | 등록일 2013.09.02
  • 인간공학 정보의 입력과 처리에 대한 내용
    inter-stimulus distance,the faster the subjects could identify the signals2) 코드의 변별성- 다른 코드 신호로부터 구별 ... : determining whether a signal or target is presentIdentification: multilevel classification1. 지각1) 개념식별 ... : 신호 또는 목표가 있는지를 결정함감정: 다차원적 분류2) signal detection theory- 2 discrete states(signal, no signal) that
    리포트 | 20페이지 | 1,000원 | 등록일 2008.04.28
  • DP cell의 원리 및 작용기작
    al 이 electric signal로 전환이 가능하다. 여기서 orifice를 통과해 나갈 때 pressure drop이 생기는 데 이 pressure drop을 측정해서 ... 에 대한 이해를 증진 시킬 수 있다. 또한 process control에 있어서의 noise의 영향과 이에 대한 해결책인 filtering의 작용에 대해서 알아보고 각각의 영향에 대 ... .e를 통해서 들어온 후 orifice를 통과나갈 때 pipe에 orifice plate가 연결되어 있으며, 이는 다시 전기회로와 연결이 되어있다. 이로써 pressure sign
    리포트 | 9페이지 | 1,500원 | 등록일 2011.04.30
  • 생체계측시스템의 구성
    (signal processing)센서의 전기적 출력을 필요에 따라 적절하게 처리증폭 혹은 감쇄과정을 통하여 신호의 크기 조정.여파기(필터, filter)를 통하여 신호의 주파수성분 ... )컴퓨터 기반으로 동작하여 시스템 상태 확인 및 정상적 동작을 제어필요한 경우 생체 자체를 자극하거나 제어함.(7) 기타Calibration signal을 기준신호로 제공하여 정확
    리포트 | 7페이지 | 1,000원 | 등록일 2011.12.08
  • 통신 이론] 데이터 모듈레이션(DSB-AM, CONVENTIONAL-AM, SSB-AM)
    를 더하게 된다. 따라서 SNR 값이 커지면 커질수록 신호가 원래의 모습으로 되돌아오게 된다.4. Demodulate the modulated signal u(t) and ... 과 같다.m(t)??u(t)Hilberttransform90˚m'(t)(transformed)??다른 Modulation 방법은 DSB-SC AM signal을 발생 ... )Balanced modulator?Lowpassfilter?m(t)Estimatecarriercomponent??2. The message signal modulates the car
    리포트 | 28페이지 | 1,000원 | 등록일 2009.02.20
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감