• 통합검색(2,055)
  • 리포트(1,055)
  • 논문(929)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,281-1,300 / 2,055건

  • 논리회로설계실험_4조_실험일(080401)_보고서
    _adder port (x,y: in std_logic; s,c: out std_logic); end component;signal X,Y,C,S:std_logic; begin ... bahave of half_adder is begin process begin if (x ... on x,y; end process; process begin if (x
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 전기전자응용실험_4주차 예비 보고서
    varying physical signal.< Figure 1. Sampling from Analog signal> A typical DAC converts the abstract ... based on Delta-sigma modulation) produce a pulse-density modulated signal that can then be filtered in ... a similar way to produce a smoothly varying signal. By the Nyquist–Shannon sampling theorem, s
    리포트 | 7페이지 | 1,500원 | 등록일 2011.07.09
  • 성인 염좌 케이스스터디, 통증 간호과정
    2015.01.12MRI(Shoulderjoint)R1.Small dark signal calcification in posterior portion of distal s ... increased signal intensity in deltoidmuscle, suggesting probability of contusion.4.Definite tear is not ... on Ss tendon7. 수술 및 처치명8. 간호과정Nursing process사정주관적 자료객관적 자료?“지금 진통제 들어가고 있는 거 맞아요?”?“우리하게 너무 아픈데
    리포트 | 15페이지 | 3,000원 | 등록일 2015.11.01 | 수정일 2017.07.27
  • MATLAB AWGN 채널을 통과한 신호의 복조
    Given input signal, the quantization and bit encoding process are done with the following codes ... signal from the source signalNow we need to modulate this encoded signal suitable for FSK signal transmission ... demodulation.The following code results pulse-shaped signal from the source signal.function [pshaped
    리포트 | 25페이지 | 3,200원 | 등록일 2010.10.07
  • Polymeric micelles for theragnosis (imaging+therapy)
    ) molecules themselves that are the signal carriers. The molecular interactions of water molecules with ... interactions cause changes in the behavior of the received MR signal.16 Magnetic Resonance Imaging (MRI ... ) Conventional magnetic resonance imaging (MRI) is based on the radiofrequency signal that is
    리포트 | 18페이지 | 2,000원 | 등록일 2011.12.26
  • Operational Amplifier
    .Description of circuitsInverting AmplifierThe circuit above amplifies the input signal according to the ... this circuit inverts as well as amplifies the input signal. Therefore, this circuit is called ... ‘Inverting amplifier’.Non-inverting AmplifierThe circuit above amplifies the input signal according to the
    리포트 | 8페이지 | 1,000원 | 등록일 2012.02.14
  • 디지털신호처리
    연속 시간 신호 (Continuous-time signal) : 시간에 따라 변하는 에너지를 표현 이산 시간 신호 (Discrete-time signal) : 연속성이 없 ... ]); 11. MATLAB 응용 17 단위 임펄스 신호 (Unit Impulse signal) 단위 임펄스 신호를 δ(n) 으로 표현 MATLAB 구현함수 [ x,n ... 를 δ(n) 으로 표현11. MATLAB 응용 18 단위 계단 신호 (Unit Step signal) [ x,n ]= stepseq (0,-5,5); Stem( n,x,’filled
    리포트 | 22페이지 | 1,000원 | 등록일 2012.03.14
  • Neural crest & Fibroblast growth factors & Transforming growth factors in development
    , is important for neuronal signal transduction in the central and peripheral nervous systems.2 ... a protein or a steroid hormone.-GFs are important for regulating a variety of cellular processes.
    리포트 | 8페이지 | 2,000원 | 등록일 2015.08.25
  • FIBER OPTIC COMMUNICATIONS SYSTEM 12장 Components of Fiber Optic Networks 요약자료
    .node transmits signals on its wavelength and all thses signals are presented to a WDM MUX. The MUX ... broadcasts all signals to all nodes. Each node selects the desired wavelength by filtering the ... entire signal. This approach is shown in Fig. 12.5(b).- One fundamental restriction in the use of a
    리포트 | 11페이지 | 1,000원 | 등록일 2011.06.22
  • VHDL을 이용한 소주,맥주 자판기 만들기
    _decode);end dis_seg;type states is (won_0, won_50, won_100, won_150, won_200);signal won_state : states ... ;signal coin_50_en, coin_5, coin_100_en, coin_10 : std_logic;signal button_soju_en, button_soj : std ... _logic;signal button_makju_en, button_mak : std_logic;signal soju, makju : std_logic;signal soj_c
    리포트 | 7페이지 | 2,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 영어교육론 PLLT chapter 5. styles and strategies 발표자료
    6. Nonlinguistic signals 7. Literal translation 8. Foreignizing 9. Code-switching 10. Appeal for ... Styles and Strategies.1. Process, Style and Strategy. Process : certain universal process, certain ... own processes of learning.Autonomy : Awareness without action will be relatively useless. Once
    리포트 | 25페이지 | 2,000원 | 등록일 2013.10.14 | 수정일 2013.10.16
  • 판매자 표지 자료 표지
    [소방발표]NFPA72 발표자료 PPT[고급애니메이션적용]
    apable of producing its own, individual signal, each of the detectors within the combination ... detector has the ability to operate alone, respond independently of the others, and initiate its own signal. (See ... smoke sensor fail. However, if the generation of a heat detection signal relies on any of the
    리포트 | 23페이지 | 2,000원 | 등록일 2013.09.14
  • 센서에 대하여
    al processing hardware)? 트랜스듀서(Transducer)-에너지 형태를 다른 에너지 형태로 변환하는 소자를 총징한다.?센서 시스템(sensor system)-센서 ... 한다. 이때 밸브는 압력을 낮추기 위해 실제 동작을 수행하는 것으로 actuator가 된다.? 센서시스템(sensor system)-센서 및 그것과 관련된 신호처리하드웨어(sign
    리포트 | 10페이지 | 1,000원 | 등록일 2017.07.15
  • 맨큐의 경제학 6판 < 6장 > 연습문제(복습,연습) 영어풀이(원페이지레포트)
    . When policymakers set prices by legal decree, they obscure the signals that normally guide the ... economists, prices are not the outcome of some haphazard process. Prices, they contend, are the result
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.16 | 수정일 2019.06.21
  • 아주대 논리회로실험 설계 8by8 multiplier 결과보고서
    적으로 진행시키는 데에 어려움이 있었다. 이는 process문을 사용하여 해결할 수 있었으나, 이 문제를 해결하고 나서 또다른 문제점이 생겼다. 바로 signal과 variable ... 되고 값이 변하면 즉각적으로 그 변한 값이 적용되지만 signal은 architecture내부에서 사용되고 한 개의 process가 끝나야만 변한 값이 적용된다는 차이점이 만들어낸 결과 ... 의 차이 위하여 variable을 사용하여 코드를 작성 하였다. 그랬더니 문제없이 코드가 동작하는 것을 확인 할 수 있었다. variable은 한 개의 process내부에서만 사용
    리포트 | 18페이지 | 1,000원 | 등록일 2013.11.29
  • EEG를 이용한 수면과 각성 구분
    general profile compared with raw data(or old method). ThisFigure . Process of EEG signal analysiswhole process is summarized at Figure 1. ... profiling algorithm1. TopicEEG signal can be classified as alpha(メ), beta(モ), theta(ヨ), delta(ヤ) and ... gamma(ャ) ding to frequency of the signal. Then if there are many probes, we can make the EEG signal to
    리포트 | 2페이지 | 1,000원 | 등록일 2010.09.30
  • 우리나라의 금융시장
    과정(price searching process)을 통해 결정됨. 금융자산가격은 금융시장의 정보를 반영, 시장참가자들에게 이를 전달하며, 이는 일종의 signal이라고 생각할 수
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.12
  • Audio Amplifier Circuit Design
    upplies and as detectors of radio signals. Rectifiers may be made of solid state diodes, vacuum tube ... , we can control the tone by adjusting gain of signal, which has both low and high frequency, with ... pF capacitor gets open in low frequency blocking signal feedback to input, short in high frequency c
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.27
  • 3번숙제_공정제어
    만 열린 경우이므로 Command signal은 12mA이다.Applet으로 확인결과 valve가 반만 열렸을 때 유량은 계산결과 값과 같은 200.2gpm이 나온 것을 확인할 수 있 ... 가 흐를 수 있게 된다. 따라서 command signal 이 커지면 outlet flow의 양이 증가한다.위에서 보는 것과 같이 Command signal이 9.0mA일 때 Outlet다. ... PROCESS CONTROL (CHBE306)2. Understand the Actuator(Control Valve)Valve trim type에 따른 Linear type
    시험자료 | 13페이지 | 1,500원 | 등록일 2012.05.01
  • 리눅스마스터2급 2차 시험 필기작성버전입니다. 제가 기출문제에 주로 나오던 내용과 책으로 직접공부하면서 중요하고 자주 시험에 나오는 부분들을 타이핑하여 직접 작성한 내용들입니다.
    를 종료시킨다.?프로세스의 명령어 및 유틸리티ps(process status)=>동작중인 프로세스의 상태를 출력해 주는 명령=>현재 작업중인 셀을 확인 할 때 사용하는 명령어- ... 해서 보여줌, 실행한 명령부분을 진하게 강조해서 출력할때!!?top=>동작 중인 프로세스의 상태를 실시간으로 화면에 출력(CPU,메모리,부하상태)?kill=>프로세스에 시그널
    시험자료 | 39페이지 | 2,000원 | 등록일 2019.12.18
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:57 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감