• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,345)
  • 리포트(1,054)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,281-1,300 / 1,345건

  • [면역학 병리학] 면역체계를 이용한 종양치료법 및 최근연구동향
    염증 사이토카인들의 분비를 증가시켜 위험신호(danger signal)를 유발함으로써 적응면역능(adaptive immunity)뿐만 아니라 자연면역능(innate immunity ... 에 의하면 열충격단백질은 세포내에 있는 거의 모든 항원성 단백질과 결합하여 processing과 제시를 증가시켜 종양특이T 세포의 활성화에 관여하며, 죽어 가는 암세포로부터 유리
    리포트 | 14페이지 | 1,500원 | 등록일 2004.12.04
  • [유닉스] 유닉스 명령어
    unix - process control command languageps :프로세스의 상태를 출력한다.-l 긴 포맷으로 출력시킨다.-u 사용자 이름과 시작한 시간을 보여준다. ... 시그널을 보낸다. 보통 프로세스를 종료시키는데 쓰인다.nice : nice는 프로세스의 우선 순위를 바꾸어준다. 이것은 커다란 프로그램을 컴파일할 때와 같이 CPU나 메모리를 많이 쓰 ... 가 끝나는 것(무서운 고아 프로세스를 만들어 낼 수있다)을 피하기 위해 wait 명령어를 쓸 수도 있다.C - process control function프로세스를 생성하거나 종료
    리포트 | 4페이지 | 1,000원 | 등록일 2002.12.12
  • [경영조직] 현대경영조직과 커뮤니케이션
    메시지메시지잡음원이 모형의 특성은 신호(signal)와 메시지, 전달자(information source; 정보원천)와 송신기(transmitter; 전화의 송신기), 그리고 목표 ... 와 관련된 요인들(3) 전달자와 수신자의 차이 때문에 생기는 요인들(4) 환경적 요인들1-2. 의사소통 장애요인의 또 다른 구분(1)과정적 장애물 (process barriers)(2 ... - 삼우사. 1997 p321-328에서 요약1-2. 의사소통 장애요인의 또 다른 구분(1)과정적 장애물 (process barriers)1) 송신자 장애물훈련과정 중에 있는 경영자
    리포트 | 40페이지 | 3,000원 | 등록일 2003.11.18
  • [교육학]교수설계이론
    념학습변별학습언어연합학습연쇄학습자극반응학습신호학습1 신호학습(signal learning) : 고전적 조건형성 반응에 의한 학습2 자극반응학습(stimulus-response learning) : 조작적 조건형성의 원리 ... instruction should be like(i.e.,what methods of instruction should be used) not what process a teacher or
    리포트 | 25페이지 | 1,500원 | 등록일 2004.11.25
  • [외국어 교수법 수강생] 외국어 학습·교수의 원리 제5장 학습 유형과 전략
    (nonlinguistic signals) : 마임, 몸짓, 얼굴 표정, 소리 모방을 사용함9. 직역(literal translation) : L1에서 L2로 그대로 직역함10. 외국어 ... 를 지각하고, 걸러내고, 저장하고, 상기하는 방법을 설명하려고 한다. 그러나 이러한 과정(process)은 개인 학습자의 학습 방식의 차이를 설명하지 못한다. 이 장에서는 이러한 학습
    리포트 | 10페이지 | 1,000원 | 등록일 2004.11.01
  • [전자회로] 4bit alu
    _dec : out std_logic_vector(3 downto 0));end component;-- 본 회로에 연결할 SIGNAL 선언signal t0,t1,t2,t3,t4,t5 ... ,t6,t7,t8,t9,y0,y1,y2,y3,y4,y5 : std_logic_vector(3 downto 0);signal c0,c1 : std_logic;begint9 t0);u1 ... : or1 port map(a_or=>c0,b_or=>c1,c_or=>cout_alu);-- function 명령 키가 변할 때마다 수행process(control)begincase
    리포트 | 17페이지 | 1,000원 | 등록일 2001.12.10
  • OS-Unix 명령어와 Systm Call
    시키기kill [ -s signal | -p ] [ -a ] pid ...kill -l [ signal ]kill 명령은 지정한 프로세스에 지정한 시그날을 보낸다. 지정한 시그날이 없 ... more -svf /tmp/manaakppa다미>PID : processID,TTY : Terminal Identiffication ,STAT : process status ... : Zombie processT : Stopped processP : Process in Page waitD : Process in Disk wait1-2. kill - 프로세스 종료
    리포트 | 23페이지 | 1,000원 | 등록일 2001.10.05
  • [정보통신] 공통선 신호방식(CCS)과 지능망(IN)
    . LI (Length Indicator) field의 값은 signal unittype을 결정한다:SS7 Signal UnitsLI ValueSignal Unit Type0Fill ... ) Message Format● TUP (Telephone User Part)⇒ 세상 어떤 곳에선 Telephone User Part (TUP)는 기본 call processing을 지원
    리포트 | 39페이지 | 1,000원 | 등록일 2004.04.03
  • 음향효과 생성방법과 PC소프트웨어
    design & mastering제작된 원시소스를 D.A.W(Digital Sound Workstation)로 옮겨 합성(mix) ,음색조정(equalize), 변형(signal process ... process, de-esser등의 과정을 통한 치찰음 제거 등의 조정 및 변형 작업 후noise reduction,total equalize,normalize 등의 2track
    리포트 | 17페이지 | 1,500원 | 등록일 2003.04.28
  • [무선통신] 4세대 이동통신
    하기 쉽다. 따라서 충분한 선형 영역에서 사용할 필요가 있다. FFT(고속 퓨리에 변환)에 의한 변복조 처리가 가능하다.Adaptive processing4세대 이동통신의 속도 ... 를 최소화함으로써 통신품질과 시스템 채널 용량을 높이는 기술이다. 이 기술은 무선 시그널이 기지국을 떠나 터미널의 수신기(receiver)가 있는 방향으로 향하게끔 해줄 수 있 ... 게 하고,여러 개의 안테나를 adaptive 기술과 함께 사용할 경우 제대로 전달되는 시그널을 늘리면서 방해 전파는 줄일 수 있다.Multicast인터넷의 전송방식은 송신자와 수신자
    리포트 | 5페이지 | 1,000원 | 등록일 2003.12.22
  • 동적 모델링 (Dynamic Modeling)
    (discrete signal)의 경우에는 프로세스 안에서 계산에 쓰이면 데이터로, 프로세스를 제어하는 데 사용되면 제어로 간주 ■ 어떤 신호는 양쪽으로 모두 분류될 수도 있 ... (PAT: Process Activation Table) - 결정표 (DT: Decision Table) - 상태변화도(STD: State Transition Diagram)
    리포트 | 60페이지 | 1,000원 | 등록일 2004.07.24
  • [화공실험] 열풍온도제어
    Vchamber temp set point(lower potentiometer):6V3)signal generator는 d.c에 놓고 Offset은 zero, level은 4V ... /off제어의 특성에 대하여 설명하라.on/off제어의 제어변수(controlled variable)는 진동함수로 나타나며, 이때 진폭 및 주기는 공정지연시간(process delay
    리포트 | 15페이지 | 1,500원 | 등록일 2004.07.14
  • [품질경영] 품질경영
    모토롤라 (Motorola), 제너럴 일렉트릭 (GE), 얼라이드 시그널 (Allied Signal), 텍사스 인스트루먼트 (Texas Instrument), 아세아 브라운 보버리 ... 몇 가지를 찾을 수 있는데, 첫 번째는 최고경영자의 헌신적인 노력이다. 모토롤라의 조지 휘셔, 제너럴 일렉트릭의 잭 웰치, 얼라이드 시그널의 래리 바시디 등의 Six Sigma ... 한 회계 시스템이 선행되어야 한다. Six Sigma가 시작된 곳이라 할 수 있는 모토롤라의 경우 1987년부터 1997년까지 약 90억 달러의 효과를 보았으며, 얼라이드 시그널
    리포트 | 8페이지 | 1,000원 | 등록일 2002.12.01
  • 운영체제 비교분석
    thring of UPS signals for safe shutdowns and bundled tape backup software ... hardware. (Object Manager, Security Reference Monitor, Process Manager, Local Procedure Call ... process is made up of threads that perform tasks. A process creates a thread to do something, and when
    리포트 | 9페이지 | 1,000원 | 등록일 2001.03.11
  • [심리학] 감각과 지각
    가 감지할 수 있는 미세한 자극·어떤 자극(빛, 소리, 압력, 맛, 냄새 등)을 탐색하는 데 필요한 최소한의 자극강도*신호탐지(signal detection)·자극의 강도(듣기검사애서 ... 가 이러한 정보 를 받으면 특정한 모서리, 선분 그리고 각과 같은 장면의 일정한 특징에 반응한다는 것 증명*병렬처리(parallel processing)·우리가 동시에 여러 가지 ... 처리(bottom-up processing) : 입력자극의 감각적분석에서 시작*하향처리(top-down processing) : 감각질을 해석하기 위하여 경험과 기대를 사용
    리포트 | 6페이지 | 1,000원 | 등록일 2002.11.30
  • 판매자 표지 자료 표지
    인텔의 기업문화와 기업분석
    - 마이크로프로세서중앙처리장치 (Central Processing Units :CPUs)라고도 불리우며 퍼스널 컴퓨터(PCs), 서버, 워크스테이션 및 다른 디바이스의 데이터 중앙 ... 스티커를 연결한다면 싱가포르와 홍콩간 거리가 된다고 한다.각종 노트북 등 컴퓨터 방송광고 중간에 들을 수 있는 `딩동딩동~`의 인텔 인 사이드 시그널은 95년에 시작한 것이다. 인텔측
    리포트 | 9페이지 | 3,000원 | 등록일 2005.07.02
  • [경영관리] 6시그마(Six Sigma)
    Six Sigma의 정의Sigma란 통계학 용어로 분포인 산포를 나타냄.Six Sigma란 극히 산포가 작은 상태로 Business Process 확립을 목적으로 한 경영혁신 ... 의 문제임. Six Sigma는 ZD 활동을 부정하는 것이 아니며, 목적에 대한 Approach 방법이 약간 다른 것으로 이해하여야 함.Process 능력을 평가할 때 종래는 평균치 ... 를 보았으며, 얼라이드 시그널의 경우 1995년부터 1998년까지 20억 달러의 효과를 보았다. 제너럴 일렉트릭의 경우는 1997년 한해만 4억 달러를 투자해 5억5천만∼6억 달러
    리포트 | 21페이지 | 1,000원 | 등록일 2002.12.12
  • 임베디드 리눅스시스템
    된 시스템이 전부였다.물론 이 시스템은 지금도 사용된다. 하지만 강력한 마이크로 프로세서와 DSP(Digital Signal Processing)칩이 일반적으로 사용됨에 따라 사용 ... 패스파인더의 운영체제로 유명해짐.태스크간의 통신을 위해 세마포어와 메시지 큐,공유 메모리,소켓,시그널등을 제공하고, 표준 TCP/IP네트워킹과 ROM이나 로컬 디스크, 네트워크
    리포트 | 9페이지 | 1,500원 | 등록일 2005.05.20
  • [바이러스학]HIV에 대하여...
    에 Apoptosis의 signal이 보내지는 것으로 생각되어진다. 특히 이런 Apoptosis를 AIDS의 주된 CD4 T cell의 감소원인으로 보는 학자들도 있다.8.The P야이다. ... 으로부터 budding의 과정에 의해 방출될 때 필요로 된다. budding process은 바이러스가 cell에 reinfect될 때 reversed된다.4.HIV-1
    리포트 | 13페이지 | 30,000원 | 등록일 2002.12.22
  • [비행체] sea launch
    의 Payload processing을 제공한다.자동화된 Launch방식을 사용한다.다각도의 Launch가 가능하다.Milestones{1993.개념연구 시작1994.컨소시엄 구성 하 ... 첨단 payload processing 시설을 제공하며, 통합된 payload unit을 Assembly and Command Ship으로 옮기는 작업이 이루어지게 된다.Home ... %)HousingSea Launch는 캘리포니아의 Long beach에 있는 Home port facility에서 위성을 인계받고, Payload processing facility
    리포트 | 8페이지 | 1,000원 | 등록일 2002.12.12
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 27일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감