• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,361-1,380 / 2,056건

  • Advanced molecular and cellular reproductive physiology
    trength of the fluorescence signal is measured and, if it lies within parameters that correspond to Y ... -bearing sperm, the microdrop is given an electrostatic charge. If the signal strength lies within ... insemination (AI) is the process by which sperm is placed into the reproductive tract of a female for the
    리포트 | 54페이지 | 2,000원 | 등록일 2012.02.23
  • Cellular Phone Systems describing each of the four generations
    audio signal from analog to digital and the incoming as well.The digital signal processor is process ... cell phone only does make and receive phone call.AMPS used two different signals, 824 megahertz and ... ced, the digital cellular system. The second generation system uses same radio signals but in
    리포트 | 5페이지 | 1,000원 | 등록일 2011.05.12
  • 판매자 표지 자료 표지
    MRI 용어의 쉬운 해설
    :심전도 파형과 동기하여 데이터를 수집하는 심전도 동기 MRIEcho :자유유도감쇄(free induction decay) 후에 얻어지는 자기공명 영상신호(MR signal)EPI ... ) :90°RF pulse 에서 에코신호(echo signal)까지의 시간으로서 영상의 특성화에 관여하는 MRI 부가인자이다.Eddy current :유도전류가 경사자장 coil ... 만 얻고 나머지 반은 mirror 효과를 이용해서 채우므로서스캔 시간을 줄이는 테크닉 입니다.분해능에는 영향이 없고 SNR은 감소 합니다.High velocity signal loss
    리포트 | 21페이지 | 1,500원 | 등록일 2011.12.25
  • Signal transduction, membrane receptors, second messengers and regulation of gene expressions
    Biological signaling : detecting extracellular signals and transducing them into intracellular changes A ... variety of biological signals : a few evolutionary conserved mechanism Cellular responses ... signals that serve as chemical messengers and provide cell-to-cell communication . Chemical and
    리포트 | 39페이지 | 2,500원 | 등록일 2010.05.11
  • 매트랩을 이용한 음성과 이미지 처리
    can use the signals without processing, but some other signals need processing to be useful signal. I ... have studied about signal and how to process a signal in this class, `DSP(Digital Signal ... Processing)`. I am going to describe about what I can do and how to process a signal especially sound and a
    리포트 | 10페이지 | 4,000원 | 등록일 2009.06.26
  • Interpolation & Decimation
    나서 time domain의 실제 signal은 non-causal일 수밖에 없지만, discrete-time signal이 되면서 2π마다 반복되는 형태로 나타나므로 이를 맞추 ... DIGITAL SIGNAL PROCESSING _ 디지털신호처리디지털신호처리(#2,Simulation Project)제출날짜담당교수강문기 교수님학번이름Interpolation
    리포트 | 35페이지 | 2,500원 | 등록일 2013.10.28
  • 인터럽트 조사
    와 외부 인터럽트는 CPU의 하드웨어 신호에 의하여 발생된다.인터럽트 체제의 기본 요소- 인터럽트요청 신호(interrupt request signal)- 인터럽트처리 ... (interrupt processing) 기능- 인터럽트취급 루틴(interrupt service routine)- 인터럽트우선 순위(priority interrupt)인터럽트 처리 순서1
    리포트 | 4페이지 | 1,000원 | 등록일 2013.07.30
  • ch9_세포분열
    eukaryotic cells is driven by a combination of two substances that signal the cellular reproduction ... the process of cellular reproduction.하나의 세포는 interphase에서 대부분의 시간을 보낸다.A cell spends the majority of ... ytokinesis, 즉 세포질 분열을 통해 재생산된다.Eukaryotic cells reproduce by mitosis, the process of nuclear division
    리포트 | 3페이지 | 1,500원 | 등록일 2013.01.28
  • VHDL을 이용한 Elevator system 설계
    (7 downto 0);signal curr_st, next_st : std_logic_vector(1 downto 0);signal dir , open_gate, motor ... _active, counter_set, close_gate: std_logic;signal counter : std_logic_vector(1 downto 0);signal door ... : std_logic_vector(3 downto 0);signal clk2x : std_logic;signal clk_out : std_logic;signal segment_1
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • MBSR 논문 (원문 및 해석) - 사회 불안 장애의 감정 조절과 관련된 MBSR의 효과
    that it can be helpful. The second the, intensive and the related brain zone's signal systematic In ... tonsils, of the signal response the change of time the reaction and the configuration yosyoui ... regulation10) Image Acquisition11) FMRI data processing12) Result13) The difference between the
    리포트 | 18페이지 | 1,500원 | 등록일 2013.04.15
  • Etching and Packaging of Micro System Process
    PCB by attaching lead to the package and passing signal. Also, it releases the heat generated from ... signal, power and ground connection, it makes more I/O connection. Also, owing to short connection ... , moocess. Etching is a process which makes tiny circuit on the substrate ultimately producing same
    리포트 | 4페이지 | 3,000원 | 등록일 2011.06.05
  • 용접 자동화를 위한 레이져 변위센서의 적용
    한국기계기술학회 백고길, 조만호
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • STM TIP Treatment
    becomes a background signal → So, we reduce it → the tip shank should be coated with an insulating film, oow} ... n (The lower the concentration slower the etching process : OH - is consumed in the reaction) → So ... NaOH or KOH solution should be changed after a few etching process 4. Length of the Wire in Solution
    리포트 | 22페이지 | 1,000원 | 등록일 2013.12.04
  • IMPLEMENTATION OF TFT-LCD
    process is equal to convolution sum of input signal and filter coefficient. Because the number of c ... other register consecutively. This process delays the input signal by five steps then this is used ... filter module can be made to be used immediately before image signals are sent to the LCD to change
    리포트 | 28페이지 | 1,500원 | 등록일 2010.10.09
  • Digital clock chip - TIME, DATE, TIMER Blocks
    ;signal MON_sig : bit_vector (3 downto 0):="0001";signal DAY_sig : bit_vector (4 downto 0):="00001";- ... )를 생성하게 된다. DATE를 위해 PROCESS 문을 두 개가 필요하다. 하나는 SET_GEN 부분이고 다른 하나는 DATA_GEN 부분이다.먼저 SET_GEN에서 MODE1,2 ... , MODE2=01이면 MONTH를 증가시키고, MODE2=10이면 DAY를 증가시키게 된다. PROCESS문 안에 if 문을 이용하여 MODE에 따른 INC_DAY, INC_MON
    리포트 | 12페이지 | 1,500원 | 등록일 2011.06.06
  • Case study: Tata Motor’s acquisition of Daewoo Commercial Vehicle Company
    what will help them run this business successfully. And listening and amplifying the weak signals, like ... have been a different story. They were attuned to those weak signals, picked them up and amplified them. PAGE 1/ NUMPAGES 2 ... for DVCV. TM was confident about the financial health of DCVC, as the bankruptcy process had forced c
    리포트 | 2페이지 | 9,000원 | 등록일 2012.11.20 | 수정일 2021.06.09
  • 반도체설계 - 정수곱셈기
    multi is signal c0, c1, c2, c3 : std_logic_vector(7 downto 0); signal sum1, sum2, sum3 : std_logic ... _vector(7 downto 0); constant zero : std_logic_vector := 00000000 ;begin process(a,b) begin if (a(0 ... ; if (a(3)='1') then c3 = ('0' b 000 ); else c3 = zero; end if; sum3 = sum2+c3; c =sum3; end process; end multi_a;시뮬레이션Thank you{nameOfApplication=Show}
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.25
  • [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    ;architecture BEHAVE of mux41 isbegin process(A,B,C,D,SEL) begin if SEL="00" then Y ... ; else Y ... 을 하고 시그널을 넣어주는 것을 알 수 있었다. 하지만 그것보다 모든 경우의 수를 표현하는 정확한 시뮬레이션을 만들 수 있는 다른 방법이 있지 않을 까 하는 고민 끝에 정확히
    리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • VHDL을 이용한 16bit ALU 설계 및 파형
    al ariout:std_logic_vector(15 downto 0);signal logout:std_logic_vector(15 downto 0);signal shr:std ... _logic_vector(15 downto 0);signal shl:std_logic_vector(15 downto 0);signal selec:std_logic_vector(1 ... : in STD_LOGIC_VECTOR (15 downto 0);out_shR : out STD_LOGIC_VECTOR (15 downto 0));end component;sign
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 화공_최적화_칠러_발표_자료(optimization of chiller)
    comparing that signal with the 90-percent set point of the valve position controller, VPC-1.Process ... increments are started when PSH-1 signals that the pump speed controller set point is at its maximum. When ... Optimization of Chiller Chapter 4Process Systems Engineering Lab Contents 21. IntroductionAdiabatic
    리포트 | 29페이지 | 1,500원 | 등록일 2011.06.01
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 06일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감