• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL를 이용한 LCD 설계

*민*
개인인증판매자스토어
최초 등록일
2010.05.27
최종 저작일
2008.06
16페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

.

목차

Introduction
Problem Statement
Implementation
Result
Conclusion & Evaluation
Source Code (with suitable comments)

본문내용

Introduction
LCD을 동작하기 위해 ISE를 통해 구조를 파악하여 설계한다.
- KIT동작을 위해 최소한 6개 이상의 process문을 이용하여 설계한다.
- FPGA_CLK, FPGA_RSTB의 변화에 따른 동작 제어의 변화를 고려하여 설계한다.
- 평소보다 많은 내부 signal을 사용해야 되므로 정확한 명칭으로 헷갈리지 않게 한다.
- KIT의 LCD에 조원의 학번과 이니셜이 표시되게 하다.
- 각 알파벳 및 숫자를 표시하기 위해 어떤 값을 넣어 줘야 하는 지 파악한다.
ISE을 통해 KIT 설정하기
- KIT의 각 pin을 설정해주고, KIT 동작에 필요한 몇 가지 사항을 설정 하여 준다.
- 시행 과정 속에 문제가 생긴다면 이를 수정하여 KIT가 제대로 동작 할 수 있게 해준다.
키트를 이용하여 실제 값이 제대로 나오는지 확인한다.
- 만약 키트에 문제가 생긴다면, 이를 수정하여 올바른 값을 확인한다.
Problem Statement
- Describe what is the problem.
LCD 모듈을 이용하여 조원의 학번과 이름 출력하기
- 조원의 학번에서 년도 부분을 제외한 6자리 위와 같이 라인 1에 출력

- 각 state에 따른 출력 부분을 채워서 완성할 것
- 초기화는 다음의 설정을 따를 것
-Function set : 2-line mode, display off
-Display OFF : display off, cursor off, blink off
-Entry mode set : Increment mode, Entire shift off
-Display ON : display on, cursor off, blink off
- Describe how do you solve the problem.
LCD Module의 내부 Register
- Instruction Register(IR) : D.D.RAM과 C.G.RAM에 대한 Address 정보와, Clear, 커서 이동에 대한 명령코드를 가지고 있음. 쓰기만 가능
- `Data Register(DR) : D.D.RAM, C.G.RAM에 데이터를 쓰거나 읽을 때 사용. 데이터를 읽을 경우 DR에 읽은 데이터 저장. IR에 주소를 쓰면 주소에 대한 LCD의 표시 데이터가 C.G.RAM, D.D.RAM으로 부터 DR로 전송

참고 자료

없음

자료후기(1)

*민*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계 7페이지
    조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 ... / -VHDLLCD를 구동하기 Hyperlink "http://linkjapan.co.kr ... /Wordpress/hd44780-lcd-display-interfacing-with-altera-fpga-vhdl
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계 17페이지
    Source & Results 1)VHDL Source 1-1)Lcd_display ... LCD이용한다. ... 지정하여 준다. 4)References및 확장방향 Calculator 설계
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project 110페이지
    수정진동자를 이용한 발진 회로를 통해 만들어진 매우 높은 주파수 (예시로 ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 ... , LCD_RS, LCD_RW, LCD_DATA, BTN_SW_, PIEZO
  • 워드파일 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post 9페이지
    HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 ... Conclusion Verilog HDL을 이용하여 LCD가 정상 작동함을 ... Datasheet - HBE-Combo II-SE VHDL과 Verilog
  • 워드파일 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre 8페이지
    HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 ... Datasheet - HBE-Combo II-SE VHDL과 Verilog ... Pre-lab Report 전자전기컴퓨터설계실험Ⅱ 10주차.
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL를 이용한 LCD 설계 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업