• 통합검색(2,053)
  • 리포트(1,055)
  • 논문(927)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,261-1,280 / 2,053건

  • 4-bit Right Shift Register
    문이 들어간다.** rsr.vhdl1) signal 선언signal은 input, output이 아닌 중간 신호를 표현하기 위해 사용된다. 즉 포트와 소자들 사이의 신호를 표현 ... 한다. signal 역시 architecture 의 시작과 begin 사이에 선언된다.signal C : STD_LOGIC_VECTOR(3 DOWNTO 0);- signal C는 처음 ... bit shift register의 동작원리와 기능을 이해한다. vhdl code안에서 process문과 if문이 어떻게 쓰이는지도 알아본다. ISE프로그램을 이용하여 4 bit
    리포트 | 9페이지 | 1,500원 | 등록일 2011.06.06
  • 판매자 표지 자료 표지
    [분자생물학]Flow cytometer(FACS)의 원리 및 응용
    는데 첫 번째는 검체의 처리(Fluidic system), 두 번째는 빛의 산란과 형광의 감지(Optical system), 마지막은 signal processing으로 데이터
    리포트 | 4페이지 | 1,500원 | 등록일 2015.09.14 | 수정일 2020.07.30
  • matlab을 이용한 sampling - Amplitude Modulation & Demodulation Design
    to the digital signal after sampling of analog CT signalin the time domain.2. The analog CT signal for ... application of EOF & ZOF.4. Apply the digital signal to the low pass filtering.5. Transmission of the output ... from step 4.6. After receiving and try to restore the signal which was transmitted.7. Compare the
    리포트 | 10페이지 | 2,000원 | 등록일 2011.01.11
  • [논리회로실험] 실험11. 디지털 클락
    '이 되면 꺼진다. 우리가 이번 실험에 사용하는 7-segment는 총 6개로 코드 내에서 DIGIT이라는 signal을 이용하여 6개 중 1개를 선택해서 1개만 동작시킨다. 6개 ... 았다.② Describe how you solve이번 설계는 총 9개의 process로 구성되어 있다. 'DIGIT을 지정해주는 부분', 'DIGIT을 순서대로 선택하기 위해 사용 ... _cnt도 '0'이 되고, sel도 "000"이 된다. 위의 설명을 나타내는 코드는 아래와 같다.process( rst_n, clk )variable seg_clk_cnt : integer range 0 to 200;beginif( rst_n = '0' ) thensel
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • [VHDL]실험12. 16진 counter
    에서는 단일 Clock, 단일 Edge만 사용(signal'event and signal='1') --rising edge(signal'event and signal='0') - ... 구문-Clock 동기 회로 설계를 위한 구문-Process문 내부에서 if~then~else구문과 함께 사용-Rising, Falling Edge를 선택-동일 Process
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 논리회로실험 2014 Flip Flop
    : std_logic_vector(3 downto 0); --shift register의 내부 신호 signal 선언beginq ... , enable, dir, mode, pi로 이름을 지정해 주고, output은 q로 둔다.위의 reset, clk, enable 입력을 architecture구문 안에 process문 ... 을 이용해 순차적으로 작동되도록 설계하였다. 우선순위를 고려하여 작동이 우선순위에 따라 순차적으로 실행될 수 있도록 process문 안에 if문을 이용해 연산을 지정한다.3
    리포트 | 10페이지 | 1,000원 | 등록일 2014.11.05
  • C언어 signal을 이용한 신호등 구현
    은 헤더 파일 signal.h를 포함시켜 정의된다. 모든 시그널은 “SIG"로 시작하고, 다음을 포함한다.)Signal Name설 명SIGABORT*프로세스 취소SIGALRM알람 시계 ... 된다).그러나 프로그래머는 시그널이 발생하였을 때 이와 같은 UNIX 커널이 제공하는 디폴트 처리기 대신 시그널 처리 함수를 임의로 지정할 수 있는데 이 때 signal() 시스템 콜 ... 시킨다.5. 제어기 프로세스는 각 신호등 프로세스를 모두 종료시키고 프로그램을 마친다.2. 관련 연구? UNIX에서의 Signal처리 하기- signal()UNIX 운영체제
    리포트 | 10페이지 | 1,500원 | 등록일 2008.04.06
  • 혈흉 케이스스터디
    복용nge-ill-demarkated bone marrow signal change of L1 and L2 spinous process-End-plate signal changes ... , hyperintensities on both T1wl and T2wl, on L4-5-no abnormal signal change within the cord-bilateral ... paraspinal muscle signal changes on T12 to L3 level(CONCLUSION)-Acute compression Fracture, L2
    리포트 | 13페이지 | 2,500원 | 등록일 2013.02.04
  • 디지털신호처리(DSP) IIR필터설계 한글보고서(Project Final Report)
    Digital signal Processing1. 연구목적 및 필요성1) 연구목적Matlab을 이용하여 입력 값으로 u[n] (unit step function)을 넣고, 2개
    리포트 | 14페이지 | 2,000원 | 등록일 2014.07.14
  • A+ 동아방송대학 전공영어 해석본
    빛의 반사를 줄여 대비를 향상시킵니다.Blanking : In an analog video signal, the periods between active lines and ... information. Blanking may be eliminated in digital video signals.Blanking: 아날로그 비니오 신호에서 디스플레이 스캐닝 빔의 귀선 ... ombining two video signals where a color in the foreground image specifies whether to show a second
    리포트 | 13페이지 | 1,000원 | 등록일 2013.06.10 | 수정일 2015.04.19
  • AWGN 채널에서의 BPSK/QPSK 변조기법의 BER 분석 (매틀랩 분석)
    signal을 추출하는 과정을 말한다. Demodulator는 전자회로인데 modulated된 신호를 복원하는 역할을 한다.BER(Bit error rate)1과 0으로 된 2진 ... functions. In this implementation, two sinusoids are used. Afterwards, the two signals are superimposed ... , and the resulting signal is the QPSK signal. Note the use of polar non-return-to-zero encoding
    리포트 | 33페이지 | 2,500원 | 등록일 2012.06.05
  • editing
    , recording analog video signals as digital signals in the hard disk and editing them on the computer is ... TV using a play and recording VCR to edit. This is an analog editing process.On the other hand ... ’t play directly after editing, we can see the image after rendering process but there’s many edit
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.24
  • Full CPU 설계 프로젝트-Verilog HDL code 포함
    들을 모아논 레지스터IR : Instruction을 의미IRadd : 명령어가 일정시간 마다 들어올 수 있도록 하기 위한 일종의 버퍼signal : Branch 연산을 지났 ... .2. The Process of Design(1) Input/Output Port Definition1) ALU 모듈(thumb_alu)- Input//func : ALU가 수행
    리포트 | 29페이지 | 5,000원 | 등록일 2016.06.25
  • [인간공학실험] 인지특성실험, 신호탐지이론 발표자료
    Present Absent Yes No Response 신호 (signal) 과 노이즈 (noise) 간의 2 원 분류 4 Working memory ( 작업기억 ) Long ... - Signal Detection 10 11 실험 1 _signal detection 목표설정 조원들의 데이터 값으로 전반적인 실험의 d’ 을 구한다 . 조원들의 데이터 값으로 전반적인 실험 ... 의 β 값을 구하고 해석한다 . 이론 시간에 배운 계산을 토대로 전반적인 실험 결과가 어떻게 나왔는지 해석한다 . 실험목표 실험 1 _signal detection 설명 및 방법
    리포트 | 38페이지 | 1,500원 | 등록일 2012.12.06
  • DSP FIR IIR FILTER 차량 신호 제어 장치 Adaptive Cruise Control (ACC)
    window를 사용, IIR 필터의 경우는 Butterworth 특성을 이용하여 설계. 필터 설계는 MATLAB사의 signal processing toolbox를 이용.5. 필터 ... : LPF를 이용한 간섭제거용 Connector에 관한 연구6. 필터의 성능분석*디지털 필터의 최종 결과 (MATLAB사의 signal processing toolbox를 이용)IIR ... (Digital Signal Processing)에서 아주 중요한 부분을 차지하는데 이는 아날로그 필터와 비교해서 훨씬 더 많은 적용분야가 있다. 적용분야 : 데이터 압축, 영상
    리포트 | 48페이지 | 2,500원 | 등록일 2013.10.28
  • Term Project Report Traffic Light Controller
    used in actual real life the control of the signal light which it embodies.▶ Traffic Light ... Operational principle of signal light ▶ The signal light had the system of 4 distance signal lights, is ... used in actual life the signal light system which like that it composed. ▶ The signal light to be
    리포트 | 16페이지 | 5,000원 | 등록일 2011.06.09
  • 실험7(예비)
    의 modulating signal로 사용할 수 있어 유용하다. 이 방법은 전화의 음성신호, 라디오의 입체 음향 방송에 쓰인다.Frequency Division Multiplexing2 ... . What are the three signals present at the input of an FM modulator, for stereo?FM modulator의 input ... signal로는 composite baseband의 signal이 쓰인다. composite baseband에는 (L+R), 38kHz의 subcarrier와 mixer된 (L
    리포트 | 3페이지 | 1,000원 | 등록일 2012.01.02
  • 판매자 표지 자료 표지
    VHDL을 이용한 엘리베이터 설계
    _up, en_down, en_open, en_stand: std_logic; --signal for each statesbegin--Process operate when 'iClk ... elevatortype state_for_elevator is (stand, stop_open, go_up, go_down);--signal declarationsignal arrive: std ... _logic; --signal for elecator arrivalsignal state: state_for_elevator; --signal for statessignal en
    리포트 | 6페이지 | 4,000원 | 등록일 2011.07.05 | 수정일 2014.10.22
  • 논리회로설계실험_4조_실험일(080401)_보고서
    _adder port (x,y: in std_logic; s,c: out std_logic); end component;signal X,Y,C,S:std_logic; begin ... bahave of half_adder is begin process begin if (x ... on x,y; end process; process begin if (x
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 전기전자응용실험_4주차 예비 보고서
    varying physical signal.< Figure 1. Sampling from Analog signal> A typical DAC converts the abstract ... based on Delta-sigma modulation) produce a pulse-density modulated signal that can then be filtered in ... a similar way to produce a smoothly varying signal. By the Nyquist–Shannon sampling theorem, s
    리포트 | 7페이지 | 1,500원 | 등록일 2011.07.09
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:13 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감