• 통합검색(2,048)
  • 리포트(1,055)
  • 논문(922)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,241-1,260 / 2,048건

  • 이케아(IKEA)의 국내 진입 시 문제 지적 및 그에 따른 해결책 제시(ILBS) 경희대 경영정보시스템
    to determine user’s current location. In the case of indoor, GPS signal cannot be used. Because ... when receiver is in an indoor state, GPS signal is weak. So, Indoor LBS can be realized with other ... 1 IKEA IKEAWhat is IKEA IKEA IkEA’s Process Visit Ikea Exhibition Visit Warehouse Find Appropriate
    리포트 | 28페이지 | 11,200원 | 등록일 2014.09.01 | 수정일 2016.11.02
  • Charpy impact test
    pattern across a conductive specimen, which releases various data signals containing structural and ... QuenchingQuenching refers to the process of rapidly cooling metal parts from the austenitizing or solution
    리포트 | 6페이지 | 1,500원 | 등록일 2016.07.06
  • Amplitude Modulation
    detector. Overmodulated AM signals and its requirement for coherent detection are also considered ... . DSB signal were generated by the multiplication of the message signal with a carrier wave form ... .LaboratoryAM signal generation-Result from preplab☞Result in laboratorySignal 1: 8V with 500Hz, signal 2: 5
    리포트 | 8페이지 | 1,000원 | 등록일 2012.02.11
  • AES 원리
     Electron (or Ion or Photon) Interaction  Auger Process Out put signal  Auger electron (X-ray, Back ... , Voltage, Probe size) Interaction  Auger Process Signal  Auger Electron Surface Analysis, Point analysis
    리포트 | 21페이지 | 1,500원 | 등록일 2013.06.04
  • 비파괴충격파 시험법을 이용한 동탄성계수 평가
    한국도로학회 김도완, 장병관, 문성호
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • [경영학] 남양유업 재무적 기업분석(영문)
    support level, current price falls below the support level . It is signal to sell . (Have the same ... rossing is interpreted as a signal to sell. Because it is “ Dead cross ”, which means price is going ... . Fundamental Analysis Industry trend 1) Qualitative analysis Processed food industry is on the gradual
    리포트 | 27페이지 | 2,500원 | 등록일 2014.05.25
  • 졸음 및 부주의 사고방지 기술 및 동향 + ISO 국제표준현황
    에 각시 및 사용자 알림관련 기술현황 (4/5) 생체신호 (Bio-signal) 측정을 통한 기술 졸음 방지 기술 * Toyota 社 웰니스 프로젝트 * BMW 社 운전자 모니터링 ... processing 을 활용하여 head position(x, y, z) 설정 - center position 기준으로 face angle, drowsiness level 등 인지 - 모니터
    리포트 | 16페이지 | 2,000원 | 등록일 2017.09.18
  • Achalasia 원인, 진단, 치료 (내과 레지던트 발표 자로)
    paralyze the nerves that signal the LES to contract By endoscopy, directly into the LES muscle. Success ... achalasia is an autoimmune process attributable to a latent infection with human herpes simplex virus 1 c
    리포트 | 13페이지 | 3,000원 | 등록일 2014.11.18
  • 전자종합설계 MOS CV + ZnO TFT
    Measurement of C-V characteristics -Apply any dc bias, and superimpose a small (15 mV) ac signal -Generally ... MOS CV + ZnO TFTINDEX 1. Introduction 2. Process 3. Result 4. Analysis1. IntroductionMOS CV
    리포트 | 23페이지 | 3,500원 | 등록일 2014.06.05
  • PELT Chapter9 Discourse
    peakers manage topics and signal to one another their perception of the way the interaction is ... markers okay, so (essential signals that help the learners to follow the talk. )Materials mode- a ... lassroom are present, but in different and special ways. Learn to observe the discourse process in
    리포트 | 5페이지 | 2,000원 | 등록일 2014.07.04
  • 디지털통신 Project
    은 overlap-save는 aliasing이 block의 앞부분에 위치하여 output signal을 생성할 때 그 aliasing을 버리지만 overlap-add ... 을 측정하여 비교하는 것도 프로젝트의 과정 중 하나이다.★Process★이번 프로젝트에서는 프로젝트 안내파일에 있는 순서대로 진행해 가면 된다.그것을 좀 더 구체적으로 설명해보면 다음
    리포트 | 7페이지 | 2,000원 | 등록일 2016.10.23
  • 논리회로설계실험_4조_실험일(080603)_보고서
    _seg is signal tmp_cnt : std_logic_vector(20 downto 0); signal clk ... _500 : std_logic; begin process(clk_4m, rstb) begin if ... `); clk_500
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.19
  • Control valve의 원리 및 특징
    Abstract일반적으로 process control에 있어서 controller의 signal을 행동으로 옮기는 역할을 하는 것이 바로 actuator or control ... valve 이다. 이는 electric signal을 physical signal로 바꾸어서 action을 취하는 것이다. 직접적인 control을 행하는 역할을 하는 만큼 정확 ... 하고 적절한 action이 취해져야 우리가 원하는 operation을 유지할 수 있게 된다. 이러한 control valve의 command signal은 아직까지도 대부분
    리포트 | 10페이지 | 1,500원 | 등록일 2011.04.30
  • Pipe를 사용하여 정보를 출력하는 프로그램
    테스트 조건프로그램은 백그라운드로 실행자식 프로세스 생성하고 자식 프로세스의 Process ID를 화면에 출력원하는 만큼 SIGUSR1 시그널을 자식 프로세스에게 전송하여 현재 시각 ... Pipe를 사용하여 정보를 출력하는 프로그램자식 프로세스는 SIGUSR1 시그널을 받으면 파이프에 현재 시각을 write부모 프로세스는 무한 루프를 돌며 파이프를 read 하 ... 여 읽은 내용을 화면에 출력SIGUSR2 시그널을 받으면 자식 프로세스를 종료하고 부모프로세스는 자식 프로세스가 종료되고 나서 종료현재시각에는 날짜, 시, 분, 초가 출력되어야 함
    리포트 | 5페이지 | 1,000원 | 등록일 2012.09.19
  • ppt3
    it is observed in demodulation process . So the signal noise ratio is low. PWM can improve the signal noise ... according to the amplitude of the signal at the instant of sampling.2. Please explain the advantages ... has unique width and amplitude. So the signal noise ratio is high. It can reduce the fading effect
    리포트 | 4페이지 | 1,000원 | 등록일 2012.01.26
  • 4-bit Right Shift Register
    문이 들어간다.** rsr.vhdl1) signal 선언signal은 input, output이 아닌 중간 신호를 표현하기 위해 사용된다. 즉 포트와 소자들 사이의 신호를 표현 ... 한다. signal 역시 architecture 의 시작과 begin 사이에 선언된다.signal C : STD_LOGIC_VECTOR(3 DOWNTO 0);- signal C는 처음 ... bit shift register의 동작원리와 기능을 이해한다. vhdl code안에서 process문과 if문이 어떻게 쓰이는지도 알아본다. ISE프로그램을 이용하여 4 bit
    리포트 | 9페이지 | 1,500원 | 등록일 2011.06.06
  • 판매자 표지 자료 표지
    [분자생물학]Flow cytometer(FACS)의 원리 및 응용
    는데 첫 번째는 검체의 처리(Fluidic system), 두 번째는 빛의 산란과 형광의 감지(Optical system), 마지막은 signal processing으로 데이터
    리포트 | 4페이지 | 1,500원 | 등록일 2015.09.14 | 수정일 2020.07.30
  • matlab을 이용한 sampling - Amplitude Modulation & Demodulation Design
    to the digital signal after sampling of analog CT signalin the time domain.2. The analog CT signal for ... application of EOF & ZOF.4. Apply the digital signal to the low pass filtering.5. Transmission of the output ... from step 4.6. After receiving and try to restore the signal which was transmitted.7. Compare the
    리포트 | 10페이지 | 2,000원 | 등록일 2011.01.11
  • [논리회로실험] 실험11. 디지털 클락
    '이 되면 꺼진다. 우리가 이번 실험에 사용하는 7-segment는 총 6개로 코드 내에서 DIGIT이라는 signal을 이용하여 6개 중 1개를 선택해서 1개만 동작시킨다. 6개 ... 았다.② Describe how you solve이번 설계는 총 9개의 process로 구성되어 있다. 'DIGIT을 지정해주는 부분', 'DIGIT을 순서대로 선택하기 위해 사용 ... _cnt도 '0'이 되고, sel도 "000"이 된다. 위의 설명을 나타내는 코드는 아래와 같다.process( rst_n, clk )variable seg_clk_cnt : integer range 0 to 200;beginif( rst_n = '0' ) thensel
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • [VHDL]실험12. 16진 counter
    에서는 단일 Clock, 단일 Edge만 사용(signal'event and signal='1') --rising edge(signal'event and signal='0') - ... 구문-Clock 동기 회로 설계를 위한 구문-Process문 내부에서 if~then~else구문과 함께 사용-Rising, Falling Edge를 선택-동일 Process
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감