• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,141-1,160 / 2,056건

  • 신호처리 1D FFT 2D FFT 필터링, 영상처리, 음성처리
    • 음성처리 신호처리의 기본개념 신호처리 ( 信號處理 , signal processing) 는 광학신호 , 음성신호 , 전자기신호 등 다양한 신호를 원하는 목적에 맞도록 수학 ... .kr/2015/12/signal-filtering.html신호처리 PRESENTATION (10 조 ) 10 조 • 1D FFT • 2D FFT • 필터링 • 영상처리 • 음성
    리포트 | 17페이지 | 3,000원 | 등록일 2018.03.31
  • 간호학/ 간호학실습 / 모성간호학/ 모성간호학 케이스스터디/ 자궁경부암 / 간호진단4개 / 케이스스터디/ 항암요법환자간호
    /1)1. Round lobulating intermediate signal intenseity mass measuring about 3.6*3.5*2.8cm in cervix ... posterior lip without significant disruption of low signal intensity stromal and posterior vaginal ... 발진 등lorazepamIV항불안제현기증, 두통, 일시적인 기억장애, 진정, 수면, 우울증, 호흡곤란, 심한졸음, 근무력2. 간호과정(Nursing process) 적용(1) 침습
    리포트 | 9페이지 | 1,500원 | 등록일 2018.07.15
  • 시편의 물성을 추출하는 방법 & 시편에 따른 적합한 가진원의 선택방법 보고서 (동역학및실험)
    -> signal conditioning -> Front-End Signal Process -> Signal Analysis어떠한 시편의 물성을 측정하기 위해서는 기본적으로 자극
    리포트 | 4페이지 | 1,500원 | 등록일 2016.10.11
  • 영어학입문 An Introduction to Language 교재 요약정리 (psycholinguistics)
    the incoming acoustic signal, to phonemes, morphemes, words and phrases, and ultimately to semantic ... we process the knowledge. The characteristics of language, memory, and expression a thought we ... first appear. Comprehending language involves a variety of capacities, skills, processes, knowledge
    리포트 | 2페이지 | 1,000원 | 등록일 2015.11.29
  • 운영체제 컨셉 5장 연습문제
    () and signal() semaphore operations are not executed atomically, then mutual exclusion may be violated ... .: 세마포 연산의 핵심은 두 개 이상의 프로세스들이 동시에 세마포 변수를 수정하여 임계영역에 들어가는 것을 막기 위해 wait와 signal의 연산이 원자적으로 실행하도록 하는 것 ... implement mutual exclusion among n processes.: n개의 프로세스는 1로 초기화 된 semaphore, mutex를 공유한다. 다음과 같이 각 프로세스의 Pi가 구성되어 있다.do {wait(mutex);
    시험자료 | 2페이지 | 1,500원 | 등록일 2016.12.29
  • UAD 플러그인 종류와설명
    , 20:1 or any ratio combo via Shift+Click, or “None” (provides amplifier-only signal path, no gain ... signal path?PRE DYN places the EQ before the Dynamics?Dynamics?Compressor Ratio 1:1 to Infinity:1 ... -chain signal path?On : Two-position button, Disables/Enables 215L module?235L Gate/Expander
    리포트 | 8페이지 | 1,000원 | 등록일 2015.04.24
  • 2010310961.이동녕.HW1
    이 주된 문제이다.② Describe how do you solve the problem.먼저 RSR파일의 경우에 architecture문 안에 signal을 이용하여 내부 ... 하고, 하나는 RSR을 거쳐 나온 so와 비교하여 올바른 값을 도출 하였는지 확인하는 용도로 한다. 그 후 process문 안에서 loop를 사용하여 input을 입력 ... 한다.Process는 두 부분으로 나누어서 하나의 process에서는 clock을 계산하도록 하고, 다른 process에서 constant값들을 이용해 si에 input을 입력하고 그 후에 나온
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.07
  • 삼성바이오로직스 합격자소서_품질관리
    겠습니다.[차별화된 바이오의약품 품질관리 엔지니어]바이오로직스에서 차별화된 바이오의약품 품질관리 Process를 구축하는 것이 저의 입사 후 꿈입니다. 바이오로직스의 품질관리 ... 과 청년들 사이에서 긍정적인 시그널이 될 수 있을 것으로 기대됩니다. 하지만 이렇게 임금을 지원해 주는 중, 단기 정책보다는 중소기업의 구조적인 환경변화 개선이 필요하다고 생각
    자기소개서 | 3페이지 | 3,000원 | 등록일 2019.05.09
  • 카이스트 Bioengineering Laboratory 1 lab9_prelab [EEG Signal Analysis]
    Pre-Lab activities1.1. EEG- Explain how EEG signal is generated, and what state the EEG signal in ... 는 micro scale로 매우 작으며, 두피에 electrode를 붙이고, electrode에 전위를 발생시켜 측정한다. EEG signal은 주파수 영역에 따라 아래의 표1과 같이 ... - Describe the types of artifact removal algorithm for EEG preprocessing.EEG의 가장 큰 단점은 signal의 크기가 작
    리포트 | 4페이지 | 1,500원 | 등록일 2015.01.04 | 수정일 2015.01.06
  • 판매자 표지 자료 표지
    Artificial Intelligence will enable us to live the convenient life 인공지능은 사회를 더 편리하게 할 것이다.
    traffic, green traffic signals are not necessary at the intersection. However, on high-traffic roads ... understanding of the AI interaction process, a misunderstanding of AI's neural technology, and a prejudice of
    리포트 | 4페이지 | 1,500원 | 등록일 2018.08.14
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 예비3 homodyne (direct-conversion),heterodyne (Superheterodyne),Double conv
    with a zero IF frequency. The local oscillator is set to the same frequency as the desires RF signal, which ... receiver. For AM reception the received baseband signal would not require any further detection.The ... stability, especially for high RF frequencies, to avoid drift of the received signal frequency.And
    리포트 | 6페이지 | 1,000원 | 등록일 2014.10.05
  • VHDL스탑와치 설계
    , count6 : integer range 0 to 9; signal clk_en : std_logic; begin process(start_stop) begin if (start ... ;Ⅲ. Stop Watch 소스분석7- Segment 설정Ⅲ. Stop Watch 소스분석return (seg_decode); end dis_seg; signal count10 ... _stop'event and start_stop = '1') then -- clk_en = not(clk_en); 사용하지 않아도 같은 결과 도출 end if; end process
    리포트 | 9페이지 | 1,000원 | 등록일 2014.12.01
  • 2주차 결과 보고서 Full Adder
    , output signal을port map을 이용하여 연결process -- 시간에 따른 signal값 지정begintb_X ... signal인 std_logic 사용.end Fulladder;architecture behavior of Fulladder is -- 설계의 실제 동작 부분beginSum w ... _3);, Sum 결과값 도출u3 : ORgate -- 첫 번째 ORgate 동작 실행port map( A => w_3, ORgate의 signal을 port map을B => w
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.26
  • 탁구공분류기(애플모방ppt)
    read balls' color and send signal to the second motor. Ball-shaped component with a hole spins ... received the signal from sensor ahead at a second step comes back and forth to decide balls' destination. ... during all process. It is designed for letting balls pass at time we purposed. The motor which
    리포트 | 17페이지 | 1,000원 | 등록일 2014.01.06
  • 리눅스 서버 관리 명령어
    다.)3) kill : 프로세스에 시그널 보내기■ Signal 보내기(kill)⇒ 프로세스 간의 통신 수단이 signal 이라고 할 수 있다. 하나의 프로세스가 다른 프 로세스 ... 에게로 메시지를 보내기 위한 수단이다. kill은 프로세스를 죽이는 명령이 아 니라 signal 을 보내는 명령이다.■ Syntax : kill [옵션]-n : n은 시그널(리눅스 ... 하 ps -auxww | more① USER(USER) : 사용자의 이름② PID(Process ID) : 프로세스마다 주어지는 번호③ %CPU : 프로세스가 사용하는 CPU 점유
    리포트 | 18페이지 | 1,500원 | 등록일 2012.10.13
  • 5주차 결과 보고서 D Flip-Flop
    -flop에 사용되는 Input d , clk 는 1bit signal을 가지고 Outputq , qb 또한 1but signal을 가지므로 std_logic 형태를 선언한다.- ... behavior of dff is -- 설계의 실제 동작 부분beginprocess(clk, d) -- Input d, clk에 대한 process 실행begin- ... - Behavioral Modeling에 따라 if 조건문을 사용한다.if ( clk = '1' and clk'event ) then-- clk가 '1'이 되면 event가 발생한다. 즉 clk '1'일 때 process가작동하며, 이는 상승 Edge Trigger를 의미한다.q
    리포트 | 7페이지 | 1,000원 | 등록일 2014.03.26
  • 시스템프로그래밍 시험 대비 요약본
    하여 프로세스간에 signal을 보낸다. 또한 signal은 프로세스가 signal을 받았을 때 무엇을 할 것인지를 지정한다.*소프트웨어 인터럽트: 필요한 임무를 실행하도록 설계되어 있 ... () 호출, signal로 인한 종료)*고아프로세스: 자식 프로세스보다 부모 프로세스가 먼저 종료됨.*좀비프로세스: 자식 프로세스는 부모 프로세스가 종료 코드를 받아야 시스템을 떠날 수 ... 에 정보가 저장되는데 구조체들은 연결리스트로 결합되어진다)에서 특정 파일의 위치를 가리키는 index 값, int 타입이다.4. Swapper process에 대하여 설명
    리포트 | 5페이지 | 2,000원 | 등록일 2010.11.30
  • 스톱워치 vhdl 구현 보고서
    _logic_vector(12 downto 0) :="0000000000000"; signal sclk : std_logic :='0';begin process (mclk ... _logic; clkout : out std_logic );end clkdiv;architecture behavior of clkdiv is signal cnt : std ... + "1"; end if; end if; end process; clkout
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • 명암도, 지역 분산 및 지역 명암도 차이를 이용한 실시간 대두 선별
    한국화상학회 김태호, 이철희, 도용태
    논문 | 12페이지 | 4,300원 | 등록일 2016.04.02 | 수정일 2024.01.29
  • 판매자 표지 자료 표지
    디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    하면 되었지만,4-to-1 MUX이기에 4가지 경우를 선택하는 신호를 결정해주어야 했다.따라서 처음에 입출력port선언외에 sel라는 signal을 선언해주었고, 이것은 X와 Y ... (3 downto 0);CIN : in bit;COUT : out bit;S : out bit_vector (3 downto 0));end component;signal X ... : bit_vector(3 downto 0) := (others => '0');signal Y : bit_vector(3 downto 0) := (others => '0');sign
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:14 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감