• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,521-1,540 / 2,056건

  • VHDL을 이용한 UART설계
    px_states; signal data : std_logic_vector(7 downto 0);Receive Component(2)begin process (clk, read ... : in std_logic_vector(2 downto 0)); end uart; architecture behavioral of uart is signal rxclk : std ... _logic := '0'; signal txclk : std_logic := '0';begin clock_gen : entity work.baudgen port map( clk_in
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
  • cDNA Microarray의 이해
    )Data processingS/B 1.4 for R G S = signal B = background Test using means and mediansData filtering ... 에 array된 전체 유전자 signal 강도를 이용한 보정 Housekeeping gene normalization : housekeeping gene의 signal 강도를 이용한 보정 ... External control normalization : 농도를 알고있는 외부 표준물질을 sample에 동일량 첨가하고 labeling 한 후 양자의 signal 강도를 보정
    리포트 | 36페이지 | 2,000원 | 등록일 2008.11.20
  • Western blotting
    게 된다. Apoptosis signal이 들어오면 conformational change가 일어나 dimer를 형성하여 미토콘드리아 외막에 작용하여 구멍을 만들고 미토콘드리아 내부 ... ) BH3 sub-family이 family는 BH3 domain만을 가지고 있어 BH3 only protein으로 분류되기도 한다. 이들은 apoptosis signal 이 들어오 ... -family인 Bid/Bim과 결합되어 있고 Bax, Bak은 아무것도 결합되어 있지 않은 상태로 미토콘드리아 외막에 존재한다. Apoptosis signal이 들어오게 되면 Bik
    리포트 | 5페이지 | 2,000원 | 등록일 2010.06.06
  • VHDL-Pre lab - Mux and DeMUX
    signals- describe its functional behaviors- write VHDL codes- make a input/output pin assignment ... the TTL databook- describe its input output signals- describe its functional behaviors- write VHDL c ... Z0의 Output으로 A0, A1이 나온다는 것을 알고 coding을 해야 한다. 이때 input 값이 output 값으로 나온다고 해서 실질적인 signal 요소를 혼돈 해서
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • Cam을 이용한 image 인식 및 Google Earth 구현.
    of the accelerometer to the PC, or specifically the application which generates the signal of the ... keyboard cursor. The reason why we translated the board output to the signal of the keyboard cursor ... was that it was easy to implement rather to the signal of the mouse cursor and click. Before we s
    리포트 | 7페이지 | 3,800원 | 등록일 2010.06.03
  • 능동소음제어의 2차 경로 모델링
    ] .Active Noise Control (ANC) Introduction-ANC 탄생배경 디지털 신호처리기술 (digital signal processing : DSP) 에 대한 이론 ... of digital signal processing using MATLAB , 2005 [5] L. J. Eriksson and M. C. Allie, Use of random ... ) [1] P. Lueg , Process of silencing sound oscillations, U.S. Patent 2, 043, 416, Jun. 9, 1936. [2] S
    리포트 | 16페이지 | 1,500원 | 등록일 2010.05.18
  • 도시브랜드 제품보증이 구매의도에 미치는 영향,제품보증유형과 제품유형의 조절효과
    이 효과naling theory: Do consumers perceive warranties as a signals of quality?,” Journal of Consumer ... J. and Bernard J. Jaworski(1989), “Information Processing From Advertisement: Toward an Integrative ... Perception Process,” In MVenkatesan (Ed..), Proceeding of the Third Annual Conference of the association fo}
    리포트 | 29페이지 | 3,000원 | 등록일 2012.09.25
  • 자동제어
    (disturbance)외부로부터 제어변수를 교란n(s) : 센서잡음(sensor noise)센서신호를 교란e(s) : 오차신호(error signal)기준입력과 측정된 출력의 차이로 ... (plant) 또는 프로세스(process)제어하고자 하는 시스템K(s) : 제어기(controller) 또는 보상기(compensator)오차신호에 따라 적절한 제어입력을 생성
    리포트 | 9페이지 | 1,000원 | 등록일 2011.04.17
  • 스피드건(speed gun)
    하고 DSP(Digital signal processing) 기술을 채택하고 있다.현재 쓰이고 있는 제품DISPLAY CURRENT, LAST AVERAGE SPEEDTRACKS ... , 테니스, 야구, 하키, 자동차경주, 보트경기 등 먼 거리측정과 고정확도를 자랑한다. 또한 첨단 디지털기술을 사용하여 빠른 데이터 처리, 간결한 터치패드와 표시창이 돋보이며 시그널
    리포트 | 26페이지 | 2,500원 | 등록일 2010.01.02
  • [생리학]【A+】항상성
    of urine.Your eyes will convert the information on this page into electrical signals that will ... transmit to your brain.Your digestive system will be processing your last meal for transfer into your ... processes are altered in disease or injury.Scientific method: hypothesis  thesis theory Drug development
    리포트 | 80페이지 | 1,000원 | 등록일 2011.07.06
  • Ping-pong(핑퐁) Machine 설계코드및 분석
    으로 process 문 내부에서 사용되는 새로운 signal로써 좌/우 paddle을 나타내는 point_p1, point_p2 그리고 output signal인 digit를 선택하기 위한 ... : pinsignal은 rst_n, clk, start_sw, P_p1, P_p2이며, out signal은 digit, seg_a, seg_b, seg_c, seg_d, seg_e, s ... 적으로 signal의 값을 대입하여, 이를 통해 구현한 simulation wave form이 예상한 값과 일치 하는지의 여부를 확인해야 한다. 또한 이를 키트 내에서 실제로 구현
    리포트 | 14페이지 | 2,000원 | 등록일 2007.11.16
  • [Success and Advice Cast a Giantas a Villain, Not a Model], [Big in Japan Fat chance for nation's young women, obsessed with being skinny]
    onsiderable sense but that for adult women, it sends exactly the wrong signals. "The issue of skinny la ... be servings. But what makes people fat around the world?sedentary workplaces, processed food and lack
    시험자료 | 10페이지 | 3,000원 | 등록일 2014.07.21
  • 논리설계실험 chap03 mux설계
    td_logic_vector type으로 표현해 주어야 한다. output에는 vector type O를 넣는다.? process 문에서는 signal로 S, I를 지정해주 ... 에는 vector type O를 넣는다.? process 문에서는 signal로 S, I를 지정해주고. If-then-else 구문을 사용하여 S의 value 따라 I(0)~I(7 ... 하여 올바른 결과 값을 얻는다.- Testbench 를 직접 작성하여 Simulator로 입, 출력 signal을 확인Problem StatementWhat is 'MUX' ?- MUX
    리포트 | 16페이지 | 1,000원 | 등록일 2008.10.26
  • [디지털시계]VHDL을 이용한 디지털 시계
    omponent;signal tmp_reset: std_logic;signal tmp_second_reset: std_logic;signal tmp_push1: std_logic;sign ... al tmp_push2: std_logic;signal tmp_push3: std_logic;signal tmp_clk_1khz, tmp_clk_100hz: std_logic ... ;signal tmp_clk_1sec, tmp_clk_1min: std_logic;signal tmp_clk_100hz_in: std_logic;signal tmp_1sec_mux_in
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 능동형 소음저감 기법을 위한 도로교통소음 예측 모형 평가 연구
    한국도로학회 안덕순, 문성호, 안오성, 김도완
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • The Speech Chain 9장 요약
    하는 신호이고 아날로그 신호(analog signal)이다. 이러한 부호가 아날로그-디지털 변환기(Analog-Digital converter)에 의해 디지털 형태로 변환된다. 이때 ... 인해 양자화 소음이 나타난다.SNR(signal-to-noise ratio, 신호 대 소음 비율).표본당 R비트를 사용하는 아날로그-디지털 변환과 균등 간격 양자화 단계에서 SNR ... The Speech ChainChapter 9. Digital Processing of Speech Signals▷ 디지털 신호 처리 과정의 기본적인 개념- 디지털 컴퓨터가 음향
    리포트 | 5페이지 | 5,000원 | 등록일 2011.05.12
  • The regulation of Melanogenesis
    by PC1, PC2, 7B2, Furin, PACE4 * B2-adrenoceptor signal cAMP ↑ 6BH4 synthesis ↑ melanogenesis ↑POMC ... 6BH4 synthesis. for example, B2-adrenoceptor signal increase cAMP level. And Then, increase 6BH4 s ... an outline of the main points. Melanogenesis is a process that produce melanin by the melanosome
    리포트 | 13페이지 | 1,000원 | 등록일 2009.06.20
  • [생산운영관리] 중소기업의 6시그마 도입방안 연구
    가 결론적으로 얼라이드시그널에게 다음과 같은 3가지 큰 효과를 주었다.(1) 신제품 개발 성공률을 높임(2) 사이클 타임을 줄여 신제품 출시시기를 앞당김(3) 사이클 타임의 감소 ... 로 제조 간접비를 감소하여 더 적은 자원으로 제품생산가능.전제적으로 6시그마는 얼라이드시그널에 15억 달러의 비용절감효과를 주고, 1998년 한 해만 5억 달러의 비용절감, 1990년 ... 을 하지 않아도 되었다. 그리고 얼라이드시그널은 수십만달러의 개발 및 개조비용을 절감하였다.* 얼라이어드시그널사의 6시그마 도입에 따른 교훈1) 조직의 최고경영자가 반드시 6시그마
    리포트 | 18페이지 | 2,000원 | 등록일 2012.03.03
  • 디지털 음성신호처리와 무선통신과의 관계
    무선통신공학 (디지털 음성신호처리와 무선통신과의 관계)200801784DSP(Digital Signal Processing) - 디지털 신호처리아날로그 신호정보를 디지털 신호 ... 로 변환하여 수학적 연산에 의해 처리할 수 있도록 한 데이터 처리방법이다. 특정 시그널의 필터링, 증폭, 잡음제거, 신호발생, 신호검출 등이 가능하며 기본적으로 아날로그 신호의 실시간
    리포트 | 2페이지 | 1,000원 | 등록일 2011.03.27
  • c++ 언어로 구현한 convolution
    , m, n, offset;char signal[10]={0,4,0, 5, 0,0,-3,0,0,0};//signal의 amplitude value 원점 좌표는 signal[3]char ... Digital Signal Processing Homework1. problem definition- 선형불변시스템 (LTI)는 현재 신호처리에 널리 이용되고 있다. 앞 수업시간
    리포트 | 9페이지 | 1,500원 | 등록일 2008.10.23
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감