• 통합검색(2,055)
  • 리포트(1,055)
  • 논문(929)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,441-1,460 / 2,055건

  • 진동 및 동적 시스템 실험 기본계측 실험 보고서 (영어)
    oscilloscope is a tool commonly used to analyze complex signals such as those which carry voice or data and ... intensity of the signal waveform measured in volts or amps.Sine Wave WaveformSquare Wave ... through. The first process in the factory production process of resistance inaccuracy, error rate, or
    리포트 | 21페이지 | 1,000원 | 등록일 2011.06.23
  • FSM Vending-Machine 실험설계
    ; architecture ar_fsm of fsm is type state_type is (st0, st1, st2); signal c_state ... , n_state : state_type; begin c_process : process(in_clk, in_rst) begin ... `) then c_state
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.06
  • Measurement of glucose using adsopriton of glucose oxidase at platinum working electrode
    transducer which converts it to an electrical signal. The output from the transducer is amplified (c ... ), processed (d) and displayed (e). (http://www.lsbu.ac.uk/biology/enztech/biosensors.html) 4Glucose
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.01
  • vlsi 설계 - 자판기
    사이에 사용되는 signal, constant 선언beginp1 : process(clk) --in switchbeginif(clk'event and clk ='1') then --상승에지에서 동작button_coffee_en ... _0은 투입된 주화가 없다는 것을 말하고, won_50은 투입된 주화의 합이 50원이라는 것을 뜻함. 최대 투입할 수 있는 주화는 200원signal won_state : s ... tates;signal coin_50_en, coin_5, coin_100_en, coin_10 : std_logic;signal button_coffee_en, button_cof
    리포트 | 14페이지 | 2,000원 | 등록일 2009.10.11
  • VHDL을 이용한 ALU설계(소스코드포함)
    B를 2`s completement를 취해줘야 한다. 보수화를 통한 값을 임의의 signal에 저장한 후 또 다른 입력 A와 더하여 준다. 그럼 output 값을 얻을 수 있 ... );end ALU;architecture alu_arch of ALU is --Architecture 선언signal p0,p1,p2,p3,p4,p5,p6,p7 : std_logic ... _vector(15 downto 0);--signal p0~07 16bit for multipliersignal bb : std_logic_vector (7 downto 0);-
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • LED 발전 과정, 최신 동향, 기초 이론, 제조 공정, 측정 분석
    Control signal (computer) MDA chiller Dry pump N 2 일반배기 Scrubber House N 2 Dry Air CW/S NH 3 Gas cabinet ... process Pattern Transfer Method PR Ashing Etching Exposure PR Masking Substrate Film DepositionⅣ ... LED 기초 이론 Wafer 공정 Epi 공정 FAB 공정 Module Packaging Etching process Directionality of Etching Processes
    리포트 | 82페이지 | 5,000원 | 등록일 2012.12.24
  • The Protein Import System of Mitochondria
    the Tim complex.Third, the N-terminal matrix targeting signal is cleaved by the matrix-processing peptiane. ... extension that functions as a targeting signal. For proteins imported into the matrix, this signal is a ... of the basic targeting signals by acidic patches in the cytosolic domains of Tom22 and Tom20. This
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.21
  • 벡터화를 통한 최적화 기법
    next part.Problem1. Sinusoidal waveform optimizationStatementThere is one signal which combined with ... DC component, cosine and sine. We want to find a similar signal using optimization. Normally, the for ... this part.MethodologyAssume that there is the sinusoidal signal denoted zin which is mixed with DC c
    리포트 | 15페이지 | 2,000원 | 등록일 2010.06.10
  • [논문]무선채널의 구현(실험)
    signal, input signal and processed signal, and BER in fraction scale and log scale. Following ... input signal and processed signal. o is the input signal and x is the processed signal.SNRScreen ... SNR = 20Table 3-3 is the screenshot of input signal and processed signal. o is the input signal and x
    리포트 | 20페이지 | 2,500원 | 등록일 2008.08.20
  • 영작, how to write a good paragraph
    need unity and coherence. Especially for coherence, transitional signals (something like 'first, s ... oherance를 위해서는 transitional signals 와 order(time order등등) 가 필요하다. 결론은 글전체의 내용을 간단히 요약하고 그에 대한 자신의 생각이나 느낌을 담는다. 그리고 나서 revising을 거치면 한편의 draft가 완성되는 것이다. ... paragraph. When you write a paragraph, you need to go through some processes to make the paragraph more
    리포트 | 2페이지 | 1,000원 | 등록일 2010.04.17
  • Modified Delta Modulation, New M-ary Modulation 설계 (코드포함)
    Delta Modulationa) Simple Modified DM- Basic concept : 기존의 DM에서 출력되는 encoded signal을 활용- encoded sign ... 한 부분을 해결 하기 위해서는 signal detector에서 동일 신호만 검출하는 것이 아니라, ‘10’이나 ‘01’이 지속적으로 발생하는 경우에 대해 delta의 값을 수정 ... : radius=2a, degree=60 (cause, 360 degree / 6 signal )second inner circle : radius=4a, degree=36 (cause
    리포트 | 16페이지 | 5,000원 | 등록일 2010.11.05 | 수정일 2024.01.04
  • 레닌저생화학 5판 Ch10~Ch11 요약정리
    가 detergent로 작용하여 지방유화를 시켜 lipase가 쉽게 작용할 수 있도록함으로써 지방 소화를 증가시킴.10.3 signals, cofactors, pigments(색소 ... phingosine은 intracellular signal로 작용한다.- 형질막의 안쪽에 존재하는 phosphatidylinositol 4,5-bisphosphate는 세포 밖 신호가 형질막 ... various cellualr processes. transporter는 특정한 유기 용질과 무기 이온을 막을 통과시켜 세포 속으로 운반하고, 세포밖의 신호를 감지하여 세포 속으로 전달
    리포트 | 13페이지 | 7,000원 | 등록일 2012.09.12 | 수정일 2014.04.18
  • English Essay- Process Analysis
    heck your gauge and give you a signal. Your regulator delivers air from the cylinder tank on demand ... , bottom, exchange the okay signal with your buddy.Under the water, there are already many creatures ... ame from by using a dive computer or compass. After exchanging a thumbs up ascend signal, move
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.26
  • DSP 노이즈신호를 복원하기위한 필터설계
    하여 Digital signal Processing을 FIR필터를 사용하여 설계하였다.처음에 설계를 받앗을 때 어렵게만 느껴져서 막상 손을 대지 못하였는데, 조원들끼리 모여서 토의 ... 한다. 이것으로부터 표적의 범위를 추정하여 이미지로 나타낸다.original signal x(t)를 불러내서 noise signal u(t)와 더해진 신호(x(t)+u(t))를 우리 ... 가 선택한 필터를 통하여 잡음을 제거한 신호(즉, 원래의 신호)를 나타내야한다.그러기 위해선 디지털 필터를 선택하고 필터의 스택을 정한다. 또 noise signal을 제거하기위한
    리포트 | 9페이지 | 2,000원 | 등록일 2010.08.09
  • Radiography
    NoiseContrast : relative signal difference between ROI (=region of interest) and its background. Bone and soft ... . Optical spot (실효초점)빛의 확산Noise: the uncertainty or the imprecision with which a signal is recorded.Noise ... region of the film.Signal-to-Noise RatioSNR(signal-to-noise ratio): signal amplitude divided by the
    리포트 | 55페이지 | 3,000원 | 등록일 2010.07.26
  • flip-flop and counter design(예비)
    are the signals to set modes. As the truth table shows when S1 and S2 are both zero, AND gate is c ... onnected to ensure there is no clock input, and to set Shift Left mode, Mux signals can be selected ... ynchronized by clock signals, while up/down inputs are placed so that up-down counter operations can
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • 맥주거품과 유체역학/유체역학 레포트
    다. 그러므로 엔젤링이란 강력한 거품안정성의 신호(signal)라 볼 수 있다.(2)Foam Stability from the view of Transport Phenomena그렇 ... 맥주 속 단백질(protein)에 의해 크게 좌우된다. 맥아(malt)에 들어있던 단백질이 양조 과정(brewing process)을 거치며 분해(degradation), 변성 ... 시킨다. 반면에 맥아, 효모 지방(yeast lipids), 호프 기름(hop oils), 실리콘 등의 반거품 공정 첨가물(antifoam processing aids), 맥주 잔
    리포트 | 11페이지 | 2,500원 | 등록일 2013.07.11
  • 경제원론I 맨큐의 경제학 5판 영어원서 원페이지레포트 6장 복습문제 입니다^^
    economic activity. When policymakers set prices by legal decree, they obscure the signals that normally ... on prices.To economists, prices are not the outcome of some haphazard process.Prices, they contend
    리포트 | 1페이지 | 1,000원 | 등록일 2010.08.21
  • 2-port Nand,Xor, 3-port AND gate의 설계
    ) 신호(Signal) signal 객체의 경우 a, b, c는 객체의 이름이며 a, b, c의 객체의 종류는 signal이므로 선으로의 구현이 가능하다는 것이다. VHDL 설계 ... 게 된다는 것이다. ii ) 변수(Variable) variable 객체의 경우는 process나 부프로그램, 즉 함수와 프로시저에서만 사용되며, 변수의 값도 process나 부프로그램 ... begin -- 내용의 시작 process(a,b) begin if (a='1' and b='1') then y = '0'; -- a가 1이고 b도 1이면 y값이 0 else y
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • Life: The Science of Biology (8th) Chap. 14. 1
    number is very BIG!!!  multicellular organisms need more signals and differentiation for ... eukaryotic cells - histones , - cyclin -dependent kinases , - proteins (processing mRNA)Nematode ... Caenorhabditis elegans (C. elegans ) Transparent body  easy to see the process of the development of eggs Gene
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.18
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감