• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,501-1,520 / 2,056건

  • 음성학,음운론(시험공부 자료)
    language since it refers to the pattern of pitch change.-- Languages that use pitch to signal a difference ... ?mf/-- VCCCC : worlds (?), promptsChapter 4-1 Phonological Processes1. Phonological Processes (말소리 ... 변동)2. Phonological Changes (Rules) (말소리 규칙)Chapter 4-1 Phonological Processes4.1. Phonological
    시험자료 | 9페이지 | 2,000원 | 등록일 2012.10.24
  • VHDL을 이용한 D-FF의 설계
    ; Q, QB : out std_logic ) ;end ;Architecture DFF of DFF is signal internal_q : std ... _logic := `0`;begin Process (clk) begin if clk`EVENT and clk = `1` then ... internal_q
    리포트 | 1페이지 | 1,000원 | 등록일 2008.12.07
  • 기초청각검사
    Ⅰ. Immittance TestGeneral Principle : 외이도 입구에서 acoustic signal을 주고 고막에서 반사되어 돌아오는 에너지를 분석하여 고막과 중 ... disease process 및 site of lesion을 추정함.2) 검사 방법+200 ~ +400mmH2O의 압력에서 시작하여 압력을 -200 ~ -600mmH2O까지 50
    리포트 | 11페이지 | 1,000원 | 등록일 2014.05.17
  • [DSP 프로젝트] C를 통한 콘벌루션 , DFT, FIR System 구현
    impulse response,and input signal isfor.Input signal 인 x[n]을 살펴보면 n값의 범위가인 점을 상기시켜 보면 결국 n의 개수가 21개 임을 알 수 ... ,.◎이다. 1)에서 행한 convolution의 형태에서 system function의 순서를 뒤바뀐 형태이다.의 경우는이인 input signal과 거의 흡사한 형태를 지니고 있 ... system에서는 Continuous-time signal인 t에 관한 식으로 표시될 수 있을 것이다.두 번째로는 Associative Law 이다. 이는을 말
    리포트 | 30페이지 | 2,000원 | 등록일 2009.09.18
  • Traffic Light Controller
    는 것이 아니라 동시 수행된다. sensitivity list(iClk, iRst)는 signal의 이름이며, 이 값이 변해야만 process문이 수행된다. clock_divider ... : architecture body 내의 회로의 동작을 기술한 문장으로 순차적으로 수행되는데, process문이 여러개인 경우 process 문들은 기술된 문장 순서대로 동작 되 ... _Light_Sideway는 모두 (1 downto 0)의 출력으로 설정해 주었고 횡단보도에 정지와 통행이 있기 때문이다.process, if문, case문, when문을 사용하여 신호등
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • DRY ETCHING
    디스플레이소자 제작공정에도 플라즈마 식각공정이 이용되고 또한 그 용도가 증가되고 있는 실정이다 . - Silicon 소자 Processing - 화합물 반도체 Processing ... 하는 원를 만든다 . 대기상태의 압력은 760Torr 이며 식각이 이루어 지는 Process Chamber 내부는 보통 100mTorr 이하 저압력 . 압력 (Pressure ... Electrode) 이라 한다 . EPD(End Point Detector) : Chamber 내부의 Plasma 시그널을 감지하고 EOP 를 검출하기 위한 장치이다 . ESC
    리포트 | 26페이지 | 3,000원 | 등록일 2013.05.21
  • Uniform & Nonuniform Quantizer 설계
    보다는 Nonuniform한 경우가 더 많이 활용되기 때문에 충분히 학습 할 가치가 있다고 생각한다.또한 우리는 SNR(signal-to-noise ratio)에 대해서도 배웠다. 이 ... Digital Signal Processing Project(Uniform & Nonuniform Quantizer)※ 목 차 ※1. 설계배경2. 설계목표3. 시스템 구성4
    리포트 | 9페이지 | 3,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • vhdl 센서를 이용한 신호등 제어
    _logic; signal count :integer range 0 to 33; signal cnt :integer range 0 to 2000000; begin process(clk ... downto 0)); end lamp111; architecture example of lamp111 is signal temp :std_logic; signal clken :std ... nt+1; end if; end if; end process;process(clken,sensor) begin if(clken='1' and clken'event)then count
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 영상촬영(영화촬영)의 정의, 영상촬영(영화촬영)의 영화적 시점, 영상촬영(영화촬영)의 영상언어, 영상촬영(영화촬영)의 시각효과, 영상촬영(영화촬영)의 사례 분석
    이 있었다. 이중에는 아직도 잘 사용되는 방법이 많다. 그러나 근래에 우리가 보게된 특수효과는 computer graphic과 computer를 이용한 digital signal ... 하거나 필터의 이용, 촬영속도의 변경, 카메라의 움직임, 거울이나 유리의 이용 등이나 screen process, optical printing, travelling mat 등의 방법 ... processing에 의한 완전에 가까운 영상처리가 가능해짐에 따라 경악을 금치 못하게 하는 영상을 만들어 내고 있다. 또 computer로 제어하는 moving camera
    리포트 | 9페이지 | 5,000원 | 등록일 2013.03.28
  • 인공 망막 Artificial Retina
    image to electrical signals and beams them to the electrode array in the eye. The technology, while s ... ligh next to the RPE. These light-sensitive structures convert photons to a neural signal. Two types of ... into a pair of eyeglasses that captures black and white images and sends them to the video processing
    리포트 | 13페이지 | 3,500원 | 등록일 2010.01.29
  • 논리설계실험 chap 04 shift register
    함을 확인한다.Simulator 과정 중에 생기는 오류를 분석하고 수정하여 올바른 결과 값을 얻는다.- Testbench 를 직접 작성하여 Simulator로 입, 출력 signal ... 다. input 과 output은 4개의 입출력 값이 필요하기 때문에 data 값을 4로 놓고, 중간에 data를 저장해주는 signal도 4개로 설정해 주어야한다. 마지막으로 shift 형식 ... : std_logic_vector (3 downto 0 );data를 중간에 저장해 주어야 하는 내부 signal을 설정해주었다. 내부 signal 없이 port만으로 shift
    리포트 | 10페이지 | 1,000원 | 등록일 2008.10.26
  • 컴퓨터 구조 - #3
    tage and IDEX stage. If MEMWB stage's regwrite signal is true(1) and itsdestination register is same ... result from this program should be coincide with the one calculated by hand.2. Project process(1) Initial ... actually saved in register space.Therefore we use 'forward' process in this simulation to maintain c
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.26
  • 예비보고서-Exp 5. FIR and IIR Filter Design.hwp
    , impulse response and z-transform- Understand how the sampling rate affects the signal distortion ... implement digital FIR and IIR filters for filtering audio signal2) Investigate the signal distortion ... (), fir1(), hamming(), boxcar(),…, kaiser() functions. Signal processing toolbox of MATLAB need to be
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.11
  • MU0 CPU -VHDL로 설계하기(ALU, ACC, IR, MUX, PC, control unit)
    에 일정한 signal을 인가하게 된다.일정한 signal을 입력받은 Register는 그 signal에 해당되는 일을 처리함으로써 하나의 Instruction이 Processor ... of cu istype StateType is(LDA, STO, ADD, SUB, JMP, JGE, JNE, STOP, RST);signal present_state ... : StateType;signal data_i : std_logic_vector (7 downto 0);signal data_o : std_logic_vector (11 downto 0
    리포트 | 28페이지 | 2,000원 | 등록일 2008.11.25
  • 제4장 감각과 지각
    를 고려해 개인의 민감도 를 측정하는 방법을 제시하고 있는데 사람들이 자극(signal)을 방해자극(Noise)으로 부 터 분리해내는 결정과정을 통해 자극을 탐지한다고 가정하며 경계 ... 로 전환하여 대뇌로 전달하는 상향적처리과정. (bottom - up processing)? 지각 : 경험과 기대에 근거하여 감각을 해석하는 하향적 처리과정. (top -down ... processing)1. 감각과 지각의 측정감각수용기: 외부세계의 물리적 자극을 내면화 하는 과정의 초기 단계로 외부세계의 물리적 특성을 비교적 정교하게 신경신호로 전환하는 기능이 있
    리포트 | 6페이지 | 1,500원 | 등록일 2012.04.01
  • Basic Logic Circuit Design
    vmplify or switch electronic signals. The MOSFET includes a channel of n-type or p-type s ... advent of high-k dielectric materials in the CMOS process.④Using Karnaugh map, express boolean algebra
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • mhc의 모든것
    response signal molecules)2.Discovery of MHC 1-4) 사람의 MHC 에 대한연구 human alloantiserum 을 이용한 연구 ... processing and presentationIntroduction Major histocompatibility complex1.What is MHC? self and non-self ... 는다 : antigen 이 특정한 cell 에 의하여 processing 되어 다시 presentation 되었을 때에 antigen 과 response : antigen 과 MHC
    리포트 | 35페이지 | 1,000원 | 등록일 2011.03.26
  • Extended Frequency-Directed Run-Length Code with Improved Difference Vector Scheme
    . One is un-compacted signal and another is compacted signal. Compaction reduces # of signal from ... be totally waste process when we can’ find or make many target sequences.Testing data have many don’t ... can make it by behind process. This scheme reduces four transitions to one or two transitions in 5
    리포트 | 29페이지 | 2,000원 | 등록일 2009.05.23 | 수정일 2014.01.17
  • 영상처리를 이용한 정밀측정
    , 가상현실 등이 있다 . - 영상의 변천사 영상처리란 ? 영상이란 ?영상처리란 ? 영상을 대상으로 하는 신호처리 (signal processing) 의 한 분야로서 , 영상 ... 으로부터 원하는 정보를 얻기 위해 행하는 모든 종류의 처리를 의미한다 . 영상처리 (Image Processing) 란 ? 감마보정의 예영상처리란 ? 디지털 영상데이터의 흐름영상처리 ... 에 g) 기하학적 처리 (geometric processing) 프레임 처리 (frame processing)영상처리란 ? 포인트 처리 (point processing)영상처리
    리포트 | 64페이지 | 1,000원 | 등록일 2011.11.01
  • VHDL을 이용한 UART설계
    px_states; signal data : std_logic_vector(7 downto 0);Receive Component(2)begin process (clk, read ... : in std_logic_vector(2 downto 0)); end uart; architecture behavioral of uart is signal rxclk : std ... _logic := '0'; signal txclk : std_logic := '0';begin clock_gen : entity work.baudgen port map( clk_in
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감