• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,561-1,580 / 2,056건

  • RNA binding protein HuR
    signal blocks the interaction of TM mRNA with ribosome complex and then shuts down TM protein s ... of a messenger RNA (mRNA) sequence as part of the greater process of protein synthesis. Usually, in
    리포트 | 20페이지 | 1,000원 | 등록일 2012.04.24
  • Life: The Science of Biology (8th) Chap. 11.4
    chemical signals. 7 / 1511. 4 How are Errors in DNA Repaired? Excision repair DNA molecules can also ... application s of our knowledge of DNA structure and replication? 12 / 15 4. The process is repeated ... , doubling the amount of DNA 5. By repeating the process, many copies of the original DNA can be porduced
    리포트 | 16페이지 | 1,000원 | 등록일 2010.10.18
  • 리얼타임 시스템의 개념
    는 현재 소유주가 양도 할 때까지 대기상태세마포어 Binary vs Counting 세가지 operation : initialize, wait, signal 세마포어를 얻는 태스크 ... 한 모듈이나 함수를 호출하는 무한루프로 구성됨 Background process Foreground process ISR : 인터럽트 서비스 루틴 비동기적으로 발생하는 이벤트
    리포트 | 34페이지 | 1,000원 | 등록일 2012.07.16
  • PI control 결과보고서
    signal에 비례한다.p(t)= bar{p} +K _{c} e(t) 위와 같이 나타낼 수 있으며 이때 p(t) = controller output, = bias(steady ... 12. Theory 22. 1 Process control 22. 2 Feedback control 22. 3 PID controller 32. 3. 1 Proportional
    리포트 | 19페이지 | 2,000원 | 등록일 2012.09.19
  • FPGA와 Verilog를 이용한 co-simulation과 co-emulation.
    (digital signal processing)를 할 수 있다.-범용의 microcontroller 보다 더 좋은 성능으로 사용자 필요에 맞는 processor 제작 가능하다.
    리포트 | 5페이지 | 4,000원 | 등록일 2009.12.24
  • [vhdl] RAM설계, testbench
    _logic_vector(3 downto 0);type ram_table is array(0 to 15) of std_logic_vector(3 downto 0);signal ram ... _data:ram_table;begin process(CE, RD, WR, Address, In_data) begin if CE=`0` then ... `); end if; else Out_data`Z`); end if; end process; end behave;
    리포트 | 6페이지 | 1,500원 | 등록일 2008.06.14
  • Control[제어공학]을 활용한 [에어백]Airback 시스템 설계 메트랩[matlap]활용
    lead to instability due to signal noise amplification in the differentiation of the errorUseful to ... difference between a measured process variable and a desired set point. The controller attempts to ... minimize the error by adjusting the process control inputs. In the absence of knowledge of the
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.11
  • 전자자물쇠(VHDL)
    ,input2,input3,input4,err1,err2,err3,err4);signal next_state : states;signal key_sw: std_logic_vector ... (13 downto 0);signal key_in1: std_logic_vector(13 downto 0);signal key_in2: std_logic_vector(13 ... downto 0);signal key_in3: std_logic_vector(13 downto 0);signal key_in4 : std_logic_vector(13 downto 0
    리포트 | 9페이지 | 2,000원 | 등록일 2007.04.07
  • [프로그래밍] 리눅스프로그래밍의기초
    보내기KILL command in the shell kill -sig pid send signal sig to the process of id pid some keyboard c ... process whose process id is pidUnix SignalsSignal 처리 방법signal을 받은 process는 그 signal의 디폴트 액션으로 처리 예: s ... c널이 프로세스로 보낼 수 있음 signal의 종류 SIGKILL, SIGCLD 등 30여개 integer 상수임 (예 SIGKILL : 9 )Unix SignalsSignal
    리포트 | 43페이지 | 1,000원 | 등록일 2004.04.24
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    detect and can signal the occurrence of an overflow.☞ Behavioral Structure : System의 기술방법으로 input과 output ... ,Or,Not)OverviewProject DescriptionAdderSubtractor - 가감산기로서 셀렉트 시그널에 의해 출력값을 피드백하여 계산을 가능케도 한 설계입니다.오버 ... 입니다. Xor, And, Or, Not을 셀렉트 시그널에 의해 선택하여 계산을 가능하게 하였습니다. Not 을 응용한 드모르간의 법칙도 계산이 가능한 것이 특징입니다. 그리고 4개
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • CMOS와 CCD의 이해
    할 수 있는 자기버블메모리)와 대등한 것을 찾고 있었다. 메모리 분야에서 상당한 영향력을 행사하면서 CCD는 imaging과 signal processing이라는 두가지 특유의 기능 ... 것으로 기대되었다.fig.1 화소 배치에 따른 series-parallel-series signal I/OCCD가 적외선 초점면에서 두각을 보이는 많은 기능이 있다. 예를 들 ... 는 아날로그 신호를 전달하는 전하 패킷을 이동시킬 수 있기 때문에 각각의 아날로그와 디지털 신호의 처리기능을 수행할 수 있다. signal delay, multiplxing
    리포트 | 4페이지 | 2,000원 | 등록일 2009.06.08
  • Fir iir 필터 설명 비교 장단점 디지털필터 Digital filter
    않다면 FIR 필터로 설계하면 된다.○ FIR과 IIR필터의 비교* 일반적으로 filtering 의 목적은 input signal의 quality를 향상하거나 ( 예를 들면 노이즈 ... 의 제거 또는 감소), signal 로부터의 정보를 알아내거나 , 합쳐진 signal을 다시 개개의 signal로 나누기도 한다. Digital filter는 digital ... signal에 filtering algorithm을 적용하기 위한 hardware 또는software routine을 말한다.Digital filter는 DSP에서 아주 중요한 부분
    리포트 | 4페이지 | 1,000원 | 등록일 2008.03.08
  • 단백질 합성과정
    의 Met 제거, signal peptide 제거, zymogen의 활성화-glycosylation: oligomer 첨가 -> receptor 역할cf. 원핵생물에서는 발현 불가능-h한 역할 ... 을 하나의 유전자에서 만들 수 있어 여러 개의 단백질을 만드는 것이 가능.-RNA 가공과정(RNA processing)-1차 전사물(primary transcript): 유전자
    리포트 | 18페이지 | 1,000원 | 등록일 2013.05.28 | 수정일 2014.10.31
  • First impression
    all the signals given off by a new experience. I would like to research how good these impressions ... omposite of all the signals given off by a new experience. Psychologists agree that snap judgments are a ... , where feelings are also processed, which accounts for the emotional punch of some first encounters
    리포트 | 4페이지 | 2,000원 | 등록일 2010.05.23
  • VHDL을 이용한 LED, LCD 문자출력
    signal count : std_logic_vector(3 downto 0);beginlpm_rom_component : lpm_romgeneric map(lpm_width ... _vector(3 downto 0);type tstates is(t0, t1, t2);signal state: tstates;signal addr : std_logic_vector(ate ... 하는 data 출력counter : process(clk)beginif (clk'event and clk = '1') thenif( count = "1101") thencount
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • 판매자 표지 자료 표지
    PID제어
    Control Engineering Design, December 2012디지털 신호의 원판 모터위치 PID제어PID control of Digital signal motor ... response.Keywords : Digital Signal Processer, PID control design, DC Motor control designI. 서론 제어공학설계
    리포트 | 18페이지 | 4,000원 | 등록일 2013.05.01
  • 3st_project
    . 07 (Wes)과목명: Digital signal processing교수명: Sangsin Na분 반: Wed / Fri D학 번: 200620216, 200720033
    리포트 | 5페이지 | 2,000원 | 등록일 2012.04.03
  • Multichannel Marketing: Mindset and Program Development
    multichannel..PAGE:3Multichannel shoppers are now in the majority and spend more than signal-channel shoppers ... value- “The lifetime value of a customer”->Experience journey, A relationship process -> The ... processes that have proven durable over time.b. Recognize human/consumer characteristics when
    리포트 | 15페이지 | 2,000원 | 등록일 2010.07.29
  • 고혈압과 Ca++ channel
    coupling process leading from electrical signal (excitation) to contraction in skeletal muscle..PAGE
    리포트 | 20페이지 | 2,000원 | 등록일 2010.07.02
  • 유전체학(Genomics)
    자들은 새로운 발견을 위해 좀더 미세하고 다양한 "signal"을 얻는 실험을 진행할 수 있다. 다만 현재의 실험적, 통계적 informatics tool이 이러한 목적으로의 사용 ... 이 가능한지는 불분명하다.5. InformaticsLarge-scale, high-throughput 실험 방법들은 정보처리(information processing)와 분석 시스템
    리포트 | 15페이지 | 1,000원 | 등록일 2012.11.26
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 06일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감