• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(416)
  • 리포트(365)
  • 자기소개서(46)
  • 논문(3)
  • 시험자료(1)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Verilog 시뮬레이션" 검색결과 101-120 / 416건

  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    도 있으며 시뮬레이션을 통해 제대로 동작하는지 검증할 수도 있다. 다양한 HDL이 존재하지만, verilog hdl 과 VHDL이 FPGA과 함께 널리 쓰인다. HDL은 단어와 기호 ... 1. 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. 실험 목적-Hardware Description Language(HDL)을 이해 ... -1-bitFullAdder와 Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    로, 할 때 사용한다.$finish : 시뮬레이션을 멈추기 위해 사용한다.$time : 시뮬레이션의 현재 시간을 나타낸다. [5]3.Verilog HDL 문법:배열 (array):배열 ... 을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자.2) 만약에 FPGA보드를 사용한다면, verilog로 구현한 가상회로를 주입하여 FPGA ... 됨에 따라서 점점 모호해지고 있다. 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2.verilig의 요소의미모듈
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 5주차 예비+결과(코드포함) Combinational_Logic_Design_II Decoder, Encoder and MUX
    가. 실험목표-HDL 문법을 활용하여 Verilog 설계 및 시뮬레이션을 할 수 있다.-Decoder, Encoder, MUX의 구성과 작동 방식을 이해 및 설계한다.나.실험결과 ... 1.2-bits 2:1 MUXFig.1.은 2-bits 2:1 MUX의 시뮬레이션 결과이다. 인풋 I0, I1이 2비트이고, S는 0또는 1이며 S의 값에 따라 Z가 결정 ... 된다. 결과의 정확성 검증은 결론에서 다룰 예정이다.2.4:1 MUX 예비보고서에서는 2-bits 4:1 MUX를 설계했으나, 1-bit 4:1 MUX로 시뮬레이션을 다시 수행하였다.코드
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 판매자 표지 자료 표지
    A+ 연세대학교 기초디지털실험 4주차 결과보고서 Combinational Logic
    을 이해한 후에는 위 예시 중 DEMUX와 Decoder를 Verilog HDL로 구현하고 waveform 시뮬레이션과 PYNQ-Z2 보드 프로그래밍을 통해 모듈의 동작을 확인한다.1
    리포트 | 11페이지 | 1,000원 | 등록일 2025.02.19
  • BCD 가산기 설계 결과보고서
    . 시뮬레이션 입력을 [표3-31]과 같이 주어졌을 때 7-세그먼트 디코드된 이진출력이 동일한지 검토하고 결과를 나타내라.중간값캐리덧셈 결과10(0XA)1011(0XB)1112(0XC ... “1101”“0010”0B2. n비트 가산기/감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 설계 할 때와 Verilog 또는 VHDL로 설계할 때의 장단점을 설명 ... 하라.Schematic ; 비트 수를 고정해서 설계해야 한다. 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 디지털시스템설계실습 전감산기 결과보고서
    ~elsif~end if형식2. Verilog 또는 VHDL로 설계한 전감산기를 컴파일 및 시뮬레이션하고, 시뮬레이션 결과를 진리표와 비교한 후 다음에 나타내라.연습문제2. 전감산기 ... 의 논리식에서 기본 게이트를 이용해 전감산기의 블록도를 그려라.1. 전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.① 논리조합회로를 이용② if~then
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • BCD가산기 verilog 설계
    한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog 코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT ... ");$dumpvars(-1,tb);$monitor("%b",RESULT);endinitialbeginA=1; B=3;#50 A=4; B=4;#50 A=9; B=5;#50 A=9; B=9;#50;endendmodule- 시뮬레이션 과정
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 4주차 예비+결과(코드포함) Combinational_Logic_Design_1 Arithmetic_Logic and Comparator
    가. 실험목표-HDL 문법을 활용하여 Verilog 설계 및 시뮬레이션을 할 수 있다.-감산기와 비교기의 구조 및 동작을 이해 및 확인한다.나. 실험결과1.Full ... Subtractor아래 그림은 예비보고서에서 설계했던 전감산기(FS)의 시뮬레이션 결과이다. testbench를 통해 모든 경우의 수를 넣어주었기 때문에 정상 작동 여부를 완벽히 확인할 수 있 ... 다.2.4-bits Subtractor아래 그림은 예비보고서에서 설계했던 4비트 전감산기의 시뮬레이션 결과이다. 입력이 각각 4비트 이기 때문에 모든 경우의 수를 확인할 수 없
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.12.14
  • 판매자 표지 자료 표지
    논리회로및실험 레포트
    논리회로및실험 예비레포트20000001 임0000000000학부목표: - AND,OR,XOR Gate를 이해하고 안다.Verilog HDL 문법을 이해한다.내용 :AND 게이트두 ... ] (두산백과)4) Verilog HDL 문법1. 기본적인 사항- 여백(white space) : 빈칸(space), 탭(tap), carriage return, line feeds 등 ... 을 가진다.- 특수 문자 앞에 확장 문자를 사용하면 일부 특수 문자를 문자열에 포함시킬수 있음.\n, \t, \\, \", %%- 스트링은 시뮬레이션에만 사용된다.5. 식별자
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    에 대해 검증했고 tesk를 수행한 결과를 검증하였다. 검증 과정에서 Verilog-HDL의 코드 문법과 이론을 익힐 수 있었고, 무엇보다 수십 번 시뮬레이션을 진행하면서 Model ... 디지털시스템설계Mu0 Processor 프로젝트Introduction본 프로젝트는 Verilog-HDL언어를 이용하여 간단한 프로세서의 형태인 MU0 processor를 설계 ... 하여 시뮬레이션 하여 Mu0를 검증한다.Mu0 의 동작을 확인한 후 일련의 동작을 하는 Task를 동작시킨다.Task는 수열의 합인 (N>=S)를 구하는 것입니다. 수식을 풀어 설명하자면= S
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • 디지털 시스템 설계 및 실습 전감산기 설계
    와 위에서 빌린 수를 나타내야 한다. 전감산기 설계 과정을 통해 조합 논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. 또한 이 실습을 통해서는 if ... ~else(Verilog) 또는 if~then~elsif~end if(VHDL) 형식을 배울 수 있다.2. 전감산기의 진리표xyzDB0 ... = ? ?x yz000111100011110010B = x’y + (x ? y)’z3. 전감산기의 블록도4. 전감산기 Verilog 코드1) MyFulladder.vmodule
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 시립대 전자전기컴퓨터 마이크로프로세서 Verilog를 통한 41 mux, ripple carry adder 구현
    마이크로프로세서 과제Verilog를 통한 4:1 mux, ripple carry adder 구현Major전자전기컴퓨터공학부Subject마이크로프로세서ProfessorStudent ... ry adder를 verilog로 구현하는 것이었다. 우선 모델심 프로그램이 오류가 자주 발생하여 시간이 많이 걸렸다. 이는 익숙해지는 데 어쩔 수 없던 부분이었던 것 같다. 4 ... 해주었다. 또한 full adder의 코드와 ripple carry adder 코드를 한 페이지에 적어 시뮬레이션을 돌리니 loading error design이라는 오류가 발생
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • [논리회로 실험] 디멀티플렉서 verilog 설계
    에 입력을 전송할 때 나머지 출력은 그 전에 할당받은 값을 유지한다. 이것은 Verilog나 VHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이 ... 다. 따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또는 VHDL이 회로로 합성되는 과정을 이해한다.실습 내용실습결과논리식과Schematic설계디멀티플렉서 진리표제 ... 어변수출력S1S0Y0Y1Y2Y300I000010I001000I011000IY0=S1’S0’Y1=S1’S0Y2=S1S0’Y3=S1S0Schematic 회로도Verilog, VHLD
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.24
  • 클럭분주회로설계 verilog 설계
    에 의해 상태가 천이되도록 클럭 분주회로를 설계함으로써 순차논리회로를 설계하는 절차를 배운다.실습 내용실습결과Verilog, VHLD설계1. 클럭 분주회로를 verilog로 설계 ... );endinitialclk=1'b0;always#30 clk=~clk;initialbeginrst =1'b0; #30rst=1'b1; #30#10000;$finish;endendmodule2. 실행결과카운트 횟수를 줄여 시뮬레이션 하였다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    .=> VERILOG CODE부연설명 : 시뮬레이션을 위해 작성한 베릴로그 텍스트에서도 두 개의 변수가 동시에1이 되는 경우는 없게끔 코드를 작성하였다. (물론 제대로 짯는지 확인해보기위해서 의도 ... 부터 Count 시작=> module=> verilog text(처음에 오류가 있는 MODULE에서 베릴로그 텍스트를 추가하고 나서 그후에 MODULE을 수정하닌깐 베릴로그 텍스쳐에선 그 ... → …=> MODULE=> VERILOG CODE=>SIMULATION(case1) 아래에 보면 down을 통해서 0=>255로 가는과정을 확인하였다.case2)load enable의 유지시간
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 시립대 전전설2 Velilog 결과리포트 4주차
    Verilog HDL 실습 4주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit ... date목록실험 목적배경 이론실험 장비시뮬레이션 결과와 실험 결과의 비교1Bit Subtractor4bit Subtractor1Bit Comparator4Bit Comparator코드 ... 분석 및 고찰결론참고 문헌1. 실험 목적- Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다.2. 배경 이론- 연산회로(1) 덧셈
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    Post-reportSequential Logic 1실험날짜 :학번 :이름 :1. Introduction가. 실험의 목적Verilog HDL 언어를 사용하여 Sequential ... Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나. 실험 이론(1 ... - Verilog HDL의 +/- 연산자를 이용하여 쉽게 모델링이 가능함.(5) Sequential logic 모델링- always 구문으로만 작성이 가능.- Sensitivity
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 충북대 디지털시스템설계 결과보고서4
    은 Dedicated Microprocessor 중 Summation of n down to 1을 verilog를 통해 설계해보았다. 시뮬레이션 결과 의도한 대로 제대로 나올 수 있 ... Summation of n down to 1을 verilog를 통해 설계한다.(2) Simulation을 통해 결과를 확인한다.3. 실험 내용FSMCurrent StateNext State(i ... 주에 배운 FSM이기 때문에 참고하여 작성할 수 있었다. datapath와 control unit을 만들어 이를 verilog 코드로 구현하는 것이 좀 어려웠던 것 같다. 그래도 처음 실습 때에 비해 verilog 문법과 코드 작성에 비교적 익숙해진 것 같다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    Pre-reportBasic Gates in Verilog날짜 :학번 :이름 :1. Introduction가. 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 ... 논리회로를 설계하는 여러 가지 방법론을 학습한다. 또한 설계한 로직을 시뮬레이션하기 위한 테스트 벤치의 작성법을 익히고 사용한다.나. 실험 이론(1) HDL의 종류a ... . Verilog HDL: 미 Cadence사 제품, 유연한 문법- 1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C언어의 특징을 기반으로 개발
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 순차논리회로설계 결과레포트
    의표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL로 설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증 ... 전자공학실험3 Chap4 순차논리회로 설계[Section 01]간단한 상태도의 구현[학습목표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog ... , VHDL로 설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다.[이론내용]▣ 순차논리회로와 상태도▷ 상태도 (FSM
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 10일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감