• AI글쓰기 2.1 업데이트
  • 통합검색(520)
  • 리포트(484)
  • 자기소개서(31)
  • 논문(3)
  • 시험자료(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 61-80 / 520건

  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    한다.실험 이론2진화 10진수 (binary coded decimal, BCD)디지털 시스템에서 십진수의 각각의 숫자를 이진수로 변환하여 표현하는 것이 가능하다. 이것을 2진화 10 ... 는 VHDL코드를 이용해서 보정할 수 있다.디코더 (decoder)와 인코더 (encoder)디코더는 인코딩된 정보를 해독하는 회로이다. 이진 디코더는 n개의 입력값과 2n개의 출력값 ... 될 때 편리한 형식을 제공해 준다는 것이다. BCD의 단점은 산술 연산을 수행하는 회로가 복잡하다는 것과 6개의 코드 패턴들이 낭비된다는 점이다.두 BCD수의 합은 그 합이 9
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    FPGA에 porting 한다.4. Simulation 되는 VHDL source code를 제출한다.5. 동영상 제작주차별 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학 ... , 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작 원리 파악, coding source 구상 및 검색, 계획 보고서 ... 과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. Xilinx Artix-7
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 논리회로실험) 7 segment 프로젝트 1 예비
    (binary-coded decimal)- 이진화 십진법(Binary-coded decimal, BCD)은 십진법 숫자를 이진법으로 표현된 비트들의 연속으로 표현하는 방법이다.- 하나 ... 을 사용하여 자료흐름 표현으로 수행해 본다.2. 예비 이론(1) 디코더- 데이터를 어떤 부호화된 형으로부터 다른 형으로 바꾸기 위한 회로와 장치를 가리킨다.- n비트의 2진 코드 ... 으로 받아들여 2진수나 BCD와 같은 코드로 변환해준다.- 2^n개의 입력선 중에서 하나가 선택되면 그에 따른 n개의 출력 선으로 2진 정보가 출력되는 회로이다.- VGA 등과 같
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 뷰웍스 회로설계 합격 자소서
    반도체 설계)-영상처리 알고리즘을 HDL 언어로 구현필요지식영상처리에 대한 이해Verilog/VHDL RTL coding 설계툴 활용 능력RTL 합성 및 Timing 분석 능력프로그래밍 언어 활용 능력 (C/C++ 등)
    Non-Ai HUMAN
    | 자기소개서 | 2페이지 | 3,000원 | 등록일 2021.02.18
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    [1] Adder & Subtracter 설계학번 / 이름:1. PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder ... & subtracter를 설계해 본다. 이를 통해 Xilinx프로그램과 VHDL code에 대해 익히고 4 bit full adder & subtracter의 작동원리에 대해 ... +yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에 VHDL code로 만들고 다음 예제를 적용
    Non-Ai HUMAN
    | 리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 논리회로설계 실험 디코더 인코더
    의 반가산기를 전가산기와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.(4) BCD(binary-coded decimal)이진화 십진법(Binary-coded decimal ... 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 모델링, Schematic Design을 사용하여 방식을 이용하여 각 게이트를 설계하고 파형을 살펴본다.2 ... . 예비 이론(1) 디코더데이터를 어떤 부호화된 형으로부터 다른 형으로 바꾸기 위한 회로와 장치를 가리킨다. 디코더는 n비트의 2진 코드를 최대 2^n개의 서로 다른 정보로 바꾸어 주
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • SoC 보고서 - 1.동기통신(PS2)
    는 SCAN한 code를 사용한다. data는 LSB먼저 보낸다. 그리고 전송이 끝나면 parity code를 계산해서 전송하고 마지막으로 data bit가 HIGH인 상태 ... 의 종류 및 특징B) PS22. 소스코드코드 설명 -------------------------- p.6A) ps2_keyboardB) ps2_receiverC) tb_ps2 ... 하고 재전송을 요청한다. 이상이 없다면 해당데이터를 그대로 수신해서 사용한다.소스코드코드 설명ps2_keyboardps2_receivertb_ps2 (test bench
    Non-Ai HUMAN
    | 리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 판매자 표지 자료 표지
    서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    일 때 code 변환을 실행하게 된다. 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment ... 의 진리표위의 code를 ISE의 text file에 입력한다. 실험교재에 있는 코드가 그림34의 진리표와 조금 달라서 수정을 했다.이 때, 1, 2번째 줄은 사용할 library ... table을 순서를 바꿔 작성한 것인데, 인접한 cell들 사이에 한 개의 변수만이 차이가 나도록 gray code로 배치한다.Karnaugh map이 때 1의 값을 가진
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 기본로직 설계 및 시뮬레이션 검증 회로설계
    Timing simulation 실행 결과RTL Viewer-Locate in chip planner 결과ANDGATE VHDL디자인 파일을 VHDL로 실행 후 소스코드 입력A,B ... imulation 실행 결과RTL Viewer-Locate in chip planner 결과OR VHDL디자인 파일을 VHDL로 실행 후 소스코드 입력A,B INPUT에 대한 파형 입력Run ... Timing simulation 실행 결과NOTGATE VHDL디자인 파일을 VHDL로 실행 후 소스코드 입력A,B INPUT에 대한 파형 입력Run Functional
    Non-Ai HUMAN
    | 리포트 | 32페이지 | 2,000원 | 등록일 2022.01.05
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    1k Hz를 정의하여서 사용하였습니다.)--3번 분주하여서 사용하였습니다. (3번 분주 시 1Hz, 주기가 1초인 클럭 사용 가능.)-- 7-segment 와 BCD code를 서로 맞게 입력 하였습니다 ... 목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 9장 VHDL 설계 툴 사용법 예비
    에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. 예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드) 부터 시작해서 VHDL 코드의 합성, 모듈(소자 ... 디지털공학실험 ? 9장, VHDL 설계툴 사용법 예비보고서1. 목적가. VHDL의 설계 툴 중 하나인 Xilinx VHDL의 설치법을 익힌다.나. Xilinx ISE Design ... Suite를 이용하여 VHDL 설계에 대해서 이해하고 사용법을 익힌다.다. Xilinx ISE Design Suite의 컴파일러와 시뮬레이터를 이용하여 VHDL로 설계한 회로
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    된다.(4) BCD (binary_coded decimal)BCD는 Binary-Coded Decimal을 줄인 말로 단어에서 알 수 있듯이 2진수, 10진수와 관련된 코드라는 것 ... 논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료 ... 흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다. 또한 7segment와 bcd코드의 원리에 대해서 알아본다.2. 예비 이론(1) 디코더디코더는 또 다른
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    ’Y’와 같이 XOR 연산을 signal로 정의하여 연산을 수행했다.3) 4bit Full Adder의 VHDL 소스 코드(주석문 포함)그림 4: 4bit Full Adder 소스 ... 전자기초디지털논리설계 10장 과제1. 실습 제목ModelSim을 이용한 VHDL 실습 과제2. 실습 목표: ModelSim을 이용하여 주어진 조건들을 만족하는 4bit full ... adder를 설계 후 테스트벤치 코드를 이용해 시뮬레이션 출력 파형을 구하고 분석할 수 있다.3. 실습 조건조건 1)?1bit fulladder의 동작이 포함되어야 한다.조건 2
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • VHDL-1-가산기,감산기
    )0000101001112.1 소스코드 설명(VHDL)소스코드설명library ieee;use ieee.std_logic_1164.all;entity Half_Adder isport( X : in ... 라면 XOR로 들어오는 Y값은 반전된다. 이렇게 NOT을 구현하고 Carryin에 M을 연결해서 1일 경우 회로에 1이 더해지도록 한다.2.2 소스코드 설명(VHDL)소스코드설명 ... = AB 이다.2.1 소스코드 설명(Schematic)카르노맵을 통해 나온 부울식인 Sum = A’B+AB’ = A xor B, Carry = AB를 그대로 설계한 것이다. X, Y
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 논리회로설계 실험 기본게이트 설계
    과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로를 설계하고 진리표를 통하여 각 기본 게이트들의 동작적 모델링과 자료 흐름 모델링 ... 하거나 회로의 문서화를 하는 경우에 좋다. 기술을 위해서 VHDL의 순차문이 Process문이 사용된다.2) 자료 흐름 모델링자료흐름 모델링은 자료의 흐름, 즉 , 신호 및 제어의 흐름 ... 을 나타낸다. 주로 부울대수, 함수, RTL또는 연산자(AND, OR 등)를 사용하여 입력으로부터 출력까지의 경로 표현을 위주로 한다. VHDL의 병행문을 사용하여 주로 표현
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • SoC 보고서 - 4.8051
    의 SoC를 구현하는 것이다. 하지만 여기서는 MCU에RAM과ROM을 연결하고 연동하는 것만 진행했다. ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다.8051 VHDL코드 ... 는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로 나누어져 있다.코드는 가장 상위인 mcu_core만 살펴볼 것이다.[그림1-D ... .코드 2-B-2RAM 신호 및 컴포넌트RAM의VHDL 코드임. 이미 설계된 것을 몇가지 설정을 거쳐서 그대로 가져와서 쓰는 것임.GENERIC에서 작성자가 프로그램을 작성하는 데
    Non-Ai HUMAN
    | 리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 판매자 표지 자료 표지
    122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    도구의 발전으로 코드의 정확성과 디자인의 품질을 향상시킬 수 있습니다.II. H D L 코딩에 필요한 지식(1) 불 대수(Boolean Algebra)불 대수는 디지털 회로 ... 에서 논리 연산을 기술하는 데 사용됩니다. 예를 들어, AND, OR, NOT 게이트의 동작을 이해하고 진리표를 작성하여 HDL 코드로 표현할 수 있어야 합니다.※학사 수준에서는 논리 ... 게이트와 불 대수의 기본 개념을 배웁니다. 논리 연산자와 진리표를 사용하여 간단한 논리 회로를 설계하고 HDL 코드로 표현하는 방법을 학습합니다. 다만, 여기서 언급된 학사, 석사
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    . 배경이론 및 사전조사HDL 기반 설계 방식은 크게 두 가지가 있다. 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL ... file을 실행한다.8. Impact를 실행해 FPGA를 프로그래밍하고 동작 검증한다.1. Verilog HDL과 VHDL의 장단점을 조사하시오.VHDL은 Top-down(하향식 ... 다. 하지만 매뉴얼이 복잡하여 언어를 이해하는 데에 많은 시간과 노력이 필요한 문제가 있다. 이에 반해 verilog HDL은 보다 언어가 유연하고 간결한 장점이 있어 VHDL
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 디지털설계 실습보고서
    디지털 시스템 설계실습보고서 7실습보고서 71. 소스코드library IEEE; //VHDL에서 사용할 라이브러리를 지정하는 명령어use ieee.std_logic_1164
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    여 다른 주기를 가지는 또 다른 클록을 만들어 내는 것이다. 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다. Count라는 variable을 범위를 설정하여 준다. 그 후 ... 숫자를 표기하는 방법에 대하여 배울 수 있었다. 또한 이후의 실습을 통해 클록 분주기와 디바운싱 코드를 FPGA와 컴퓨터를 연결하여 소스코드를 직접 작동시켜 보았다. 지금까지 배웠 ... 던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. 클록 분주기를 이용하여 실제 분, 초, 1/100초에 가깝게 클록
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 전문가 요청 쿠폰 이벤트
  • 2025 마이해캠리포트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 21일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감