• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(12)
  • 리포트(12)
판매자 표지는 다운로드시 포함되지 않습니다.

"vhdl calculator" 검색결과 1-12 / 12건

  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    - 버튼도 눌러짐에 따라 LCD에 표시되었다. 그리고 calculate에 해당하는 버튼도 눌렀을 때 =표시가 나타나며, 계산된 값이 나타났으며, 특히 음수에 해당하는 값이 나왔 ... 이 들어가고, +/-버튼은 reg_file(1)에 부호값이 들어가게 된다. calculate버튼을 누르면 reg_file(3)에 = 표시에 해당하는 아스키코드값이 들어가게 되고 결과 ... .그림2. 딥스위치(8비트)3)process별 역할 설명상위에 lcd_display 모듈을 만들고, component형식으로, lcd_test와 data_gen을 불러오는 방식
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 논리회로실험 2014 Calculator
    에 출력된다. operand2는 operand1의 입력방식과 동일하고, calculate 스위치를 누르면 =기호와 함께 연산된 결과가 LCD에 출력된다. 입력의 범위는 0~F (16 ... _LOGIC; -- - 버튼calculate : in STD_LOGIC; -- =버튼operand : in STD_LOGIC_VECTOR (3 downto 0)); -- dip ... );End component;component data_gen -- calculator 연산 componentPort ( FPGA_RSTB : in STD_LOGIC;CLK : in
    리포트 | 22페이지 | 1,000원 | 등록일 2014.11.05
  • [12주차] Calulator
    alculate : in std_logic;operand : in std_logic_vector(3 downto 0));end component;-- component를 사용 ... alculate, operand);-- port map을 사용하여 각 component 함수로 데이터를 보내고 돌려받습니다.end Behavioral;library IEEE;use IEEE ... 라고 생각합니다. 앞에서 이미 한번 실습을 해보았던 4bit 감가산기의 block diagram으로서 2S complement를 이용하여 감산기를 구현하는 구조이다.가. LCDRovo
    리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • VHDL로 작성한 계산기의 소스 파일 입니다.
    -vhdl의 경우 c 와는 달리 다른 사람의 코드를 보고 이해하는 것이 쉽지 않습니다.저 또한 작성한지 좀 된 코드라 생각이 가물가물할수도 있구요.아무튼 구매하신분이 잘 이해가 안 ... 된다고 하시기에 좀더 부가적으로 설명을 작성합니다.calculator_machine.vhd파일이 가장 탑 모듈입니다.전체 입력은 버튼 입력 10비트, 연산입력 5비트, 리셋, 클럭 ... _top_calculator isport(clk:in std_logic;reset:in std_logic;Num:in std_logic_vector(9 downto 0);Func
    리포트 | 30페이지 | 15,000원 | 등록일 2008.03.30 | 수정일 2024.10.01
  • verilog-계산기(calculator)A+자료 코드및 레포트
    1.시뮬레이션 분석 및 설명 (출력을 16bit로 사용하여 스크린샷을 했을 시 일반 화면 크기를 넘어가는 점이 생겼으며, 10진수의 계산 값이 정확한지 보여드리고자 10진수 시뮬레이션결과도 첨부하였습니다.)이번 시뮬레이션 목표는 adder로 74+98을 계산하는 것이 ..
    리포트 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • VHDL 계산기 소스코드 및 보고서
    & Results1) VHDL source주석문은 vhdl문 내부에서 한글로 선언시 에러 및 글자 깨짐현상이 있어서 서툴지만 영어로 작성하였습니다.calculator.vhd -LCD모듈과 계산 ... : in STD_LOGIC;load_minus : in STD_LOGIC;calculate : in STD_LOGIC;operand : in STD_LOGIC_VECTOR (3 ... alculate : in std_logic;operand : in std_logic_vector(3 downto 0));end component;signal data_out_reg, w
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • VHDL을 이용한 산술연산회로설계 할인자료
    VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu ... 시그널들을 초기화한다. ■ 승수와 피승수를 입력 받아 각 버퍼에 저장하고 load 신호가 인가됨에 따라 multiply 계산을 시작한다. ■ 승수의 bit 길이인 8까지 count ... (cnt) 값을 증가시키며 계산을 진행한다. ■ Count가 8이 되었을 때의 최종값을 output으로 출력하여 값을 확인한다. ■ 아래 표의 값을 사용하여 testbench 진행
    리포트 | 25페이지 | 2,000원 (10%↓) 1800원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 논리회로 설계 실험 계산기 설계
    : in STD_LOGIC;load_minus : in STD_LOGIC;calculate : in STD_LOGIC;operand : in STD_LOGIC_VECTOR (3 ... 때 calculate push 버튼을 누르면 LCD에는 ‘=’ 기호와 함께 13이라는 출력이 나와야 할 것이다. 왜냐하면 연산결과는 16진수여야 하기 때문이다.첫 번 ... ☞calculate : '='기호의 출력과 함께 연산을 수행하게 하는 port☞operand : DIP_SW로 입력데이터를 받는 port♣이번 실습의 목표가 입력데이터와 LCD 출력
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • vhdl 학번 proj
    고 sel=1 일 때의 입력은 numeric을 받아들여 학번과 이름 모두 입력 가능하게 한다.-출력의 7-segment 표현은 e-class에 업로드 되어 있는 ppt의 LED
    리포트 | 7페이지 | 3,000원 | 등록일 2011.06.27
  • PC caculator
    in this code.--library UNISIM;--use UNISIM.VComponents.all;entity MUX is Port ( D_sel : in STD
    리포트 | 15페이지 | 2,000원 | 등록일 2008.06.01 | 수정일 2019.04.12
  • [vhdl] VHDL 계산기2
    VHDL Calculating Machine1.키입력처리키입력처리1library ieee; use ieee.std_logic_1164.all; use ieee.std_logic ... _unsigned.all; entity key is port( clk : in std_logic; key_0,key_1,key_2,key_3,key_4,key_5,key_6,key ... _7,key_8,key_9 : in std_logic; key_a,key_b,key_c,key_d,key_e,key_f : in std_logic; x1,x2,x3 : buffer
    리포트 | 5페이지 | 1,500원 | 등록일 2003.09.17
  • [디지털공학] VHDL을 이용한 계산기 설계
    VHDL을 이용한 CALCULATOR 설계Contents#1. Concept1. Object2. VHDL3. FPGA4. Environment of Foundation ... 에는 VHDL Cording실력 향상과 함께 Hardware의 동작원리를 쉽게 이해할 수 있을것이다.2. VHDLa. VHDL(Very High Speed Integrated Circuit ... Hardware Description Language) 이란?HDL이전의 하드웨어 설계에서는 주로 레이아웃 편집기(layout editor)나 스키메틱 편집기(schematic
    리포트 | 51페이지 | 6,000원 | 등록일 2004.07.14
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 15일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감