• 통합검색(2,031)
  • 리포트(1,055)
  • 논문(905)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,181-1,200 / 2,031건

  • 과거와 현재의 태평소 존재양상에 대한 일고찰 (Exist aspects of Taepyeongso the past and present)
    the military instruments of the Joseon Dynasty, Geumgo, Nabal and Nagak seems to be used with ‘signal system ... inherited among people. In the process, the influence of the foundation such as the Joseon Dynasty’s
    논문 | 30페이지 | 무료 | 등록일 2025.05.26 | 수정일 2025.05.27
  • 3 8 디코더
    signal EN : std_logic; signal X : std_logic_vector(2 downto 0); signal D : std_logic_vector(7 downto ... ; architecture behave_de of de_38 is begin process(X, EN) begin if EN = `0` then D ... process; end behave_de; entity tb_de_38d is end tb_de_38d; architecture tb_behave of tb_de_38d is
    리포트 | 9페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 판매자 표지 자료 표지
    경영혁신론(변화와 혁신) 시험공부 대비자료
    형고객(creative consumer)? 포스코의 경영혁신 PI(Process Innovation) 중 가장 먼저 고려한 요소 고객? 패러다임의 변화, 고객의 니즈와 욕구가 중요 ... 된 시스템. 그리고 기업의 혁신전략이 성공하기 위해서는 에코시스템과의 연계성이 중요하다고 하였다. ex) HDTV는 스튜디오 기기, 시그널 압축기술, 방송표준의 기술부재로 실패
    리포트 | 27페이지 | 5,000원 | 등록일 2020.03.13 | 수정일 2020.03.18
  • 미국 대학원 석사 합격 Statement of Purpose (SOP)
    my knowledge.I believe that communication and the signal processing is a broad area, which can be ... upplement body tissue using signal processing. Currently, investment in medical engineering is low in ... utilized in biomedical engineering. In particular, I would like to research connectivity of signal between
    자기소개서 | 2페이지 | 5,000원 | 등록일 2012.02.03
  • 리눅스명령어모음
    number]프로세스 종료kill [-signal number] process idsignal : SIGINT (2) 프로세스 종료(ctrl+c)SIGKILL(9) 프로세스 종료
    리포트 | 3페이지 | 2,000원 | 등록일 2017.10.30
  • 환지통과 단단통
    정신병리학적으로 환상지를 기분이 나쁜 감각이나 통증으로 인식하는 것으로 주장 정상적으로 받아들이던 정보가 갑자기 차단되면 central map 과 afferent signal ... entral processing) 에서 상실된 신체 부위에 대한 감각을 여전히 가지고 있기 때문에 발생 말초신경이 접합 (synapse) 되는 척수의 뒤뿔 (dorsal horn
    리포트 | 19페이지 | 1,500원 | 등록일 2018.09.10
  • MIS - KENNETH LAUDON CH7 문제
    about an item and its location. RFID readers read the radio signals transmitted by these tags and ... pass the data over a network to a computer for processing.Wireless sensor networks (WSNs) are networks
    리포트 | 2페이지 | 1,000원 | 등록일 2014.12.25
  • DP cell의 원리및특성
    를 고려하여 sigmal을 보내는 것이 filtering이라고 한다. 이런 filtering의 정도를 바꿔가며 signal이 어떻게 나타나는지 그리고 갑작스런 변화에 signal ... 이 어떻게 반응하는지를 알아 보았다.flow rate와 signal을 바꾸는 과정은 항상 선형적이지 않다. 베르누이 식에 따라 flow rate와 differential pressure ... 를 측정한다. DP cell은 flow가 orifice를 통과하는데 생기는 differential pressure을 측정하여 electric signal로 바꾼다. orifice s
    리포트 | 16페이지 | 1,000원 | 등록일 2013.08.11
  • voicenetworking protocol 요약정리
    network에서 발생한 Call signal을 IP Protocol로 변화 시키는 장치 VoIP(Voice over IP) : 음성을 IP network을 통해 단순 전송하는 기술 ... 에서 발생한 Call signal을 IP Protocol로 변화 시키는 장치SCCP 구성요소Skinny ClientCisco IP PhoneSkinny ServerSkinny ... 에서 발생한 Call signal을 IP Protocol로 변화 시키는 장치SIP Protocol의 구성요소UA(User Agent)SIP server- Client 1. UAC
    리포트 | 11페이지 | 3,000원 | 등록일 2011.01.14
  • 동기카운터 클럭
    -- clk상승을 세기위한 임시 신호 add signal divi : std_logic:=`0`;-- add에 따라 분주를 나누기 위한 임시 신호 divi begin process ... ) ); end cnt1; architecture behave of cnt1 is signal add : std_logic_vector(2 downto 0):=(others => `0`); ... (3 downto 0) ); end cnt0; architecture behave of cnt0 is begin process(clk, rst) begin if rst = `1
    리포트 | 9페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 함수발생기 설계 결과보고서
    Ampiifier operating mathmetical principle about input signal - Two inputs and one output two power supply ... of the input signal is inverted - The output voltage is applied to the inverting input and feedback ... TheoryN onInverting amplifier The Gain of a more Input signal is applied to the noninverting
    리포트 | 28페이지 | 4,000원 | 등록일 2013.01.05
  • FSM 설계
    때문에 input이다. ●output output인 cnt는 연산의 결과값을 출력하기 위한 output이다. 각 연산의 결과값은 architecture 내부의 signal state ... 에 저장되고, 최종적으로 state를 cnt에 입력함으로써 cnt의 값을 출력하게 된다. 위 그림에서 볼 수 있듯이 state를 signal로 지정해주고, 각 상태에서 mode ... binary 또는 gray count를 동작한다. - 주어진 entity 주어진 entity를 사용하였으며, 상태표와 상태도를 이용하여 process 내부를 채워넣었다. 상태표를 통해
    리포트 | 17페이지 | 2,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • ppt1
    with analog signals. (2) In terms of Multiplexing Multiplexing is a process where multiple analog ... frequency periodic waveform, called the carrier signal, with respect to a modulating signal. Demodulation ... is the act of extracting the original information-bearing signal from a modulated carrier wave. 2
    리포트 | 2페이지 | 1,000원 | 등록일 2012.01.26
  • VHDL 디지털시계 발표 자료
    egment_e, segment_g : out std_logic_vector (6 downto 0)); end component;4 주차 완성 소스signal w1,w2,w3,w4,w5,w ... 6 : std_logic_vector (6 downto 0); signal bt,time1,time2,time3,time4,time5, time6 : std_logic_vector ... 값을 발생 시키고 시간의 자리는 '10의자리와 1의자리 수가' 2와 4가 되었을때 Carry 값이 발생된다1 주차 완성 소스process(clk_b,res) begin if res
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 센서를 활용한 자동화 사거리 신호등 설계 방안
    주기 제어 카운터(A)가Up카운트 되면서신호 상태 제어 카운터(J)가“001,011,101,111(Yellow signal)"이면 A카운터 “0001” 값에서바로 “Reset” 한다 ... )Reset = 나머지 신호- State Transition table1) About North/South signal (;while Ultrasonic sensor signal = "0 ... signal (;while
    리포트 | 10페이지 | 1,500원 | 등록일 2012.10.30
  • 7세그먼트
    _logic_vector(5 downto 0) ); end seg_7; architecture behave of seg_7 is signal clk_500 : std_logic; sign ... al cnt : std_logic_vector(25 downto 0); begin process(RSTB, CLK_4M) begin if RSTB = `0` then cnt
    리포트 | 4페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 논리회로설계실험 ALUkit (결과보고서)
    you test it위와 같이 port와 signal, clk를 선언하고KIT_ALU에 mapping을 시키고 clk을 process한다.위의 값들을 차례로 넣어주어 test ... .이 때 아래와 같은 process를 사용한다.이렇게 들어간 a, b의 값을 ALU를 통하여 연산을 하고 이렇게 나온 output을 segment와 led를 통하여 출력을 하 ... 을 하려면 번갈아가면서 해야한다. 따라서 아래와 같이 클럭에 따라 번갈아 출력될 수 있도록 process를 설계한다.-Provide specifications : input and
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • [운영체제 레포트] 리눅스(Linux)의 top 명령어 조사
    순서로 정렬* k : Process 종료- k 입력 후 종료할 PID를 입력 한다.- signal을 입력하라 표시되면 9를 넣어준다* c : 명령 인자 표시 / 비표시* l ... : uptime line(첫번째 행)을 표시 / 비표시* space bar : Refresh* u : 입력한 유저 소유의 Process만 표시- which user: 와 같이 유저 ... 을 반증하는 것이다.#세부 정보 필드별 항목PID USER PR NI VIRT RES SHR S %CPU %MEM TIME+ COMMAND- PID: 프로세스 ID(process id)- USER: 프로세스를 실행시킨 사용자 ID
    리포트 | 3페이지 | 1,500원 | 등록일 2015.04.12
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 결과6 Single gate mixer design
    mixer at the gate port and connected two P_1Tone element to mixing signal. The one has RF frequency, the ... signals are mixing . Another feature of this mixer which we designed is single gate mixer.Single gate ... designed in ADS shows mixing two signals with power and frequency changing. So we can see the
    리포트 | 8페이지 | 1,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • 레포트(운동역학2)
    있다.그림 2. 근육의 구성과 신경신호의 전달과정.Figure 2. Muscle tissue and transmission process of nerve signal.이런 근전 ... signal근육이 수축할 때 발생되는 근전도 신호의 해부도는 그림 1과 같으며 그 개념은 다음과 같다. 신체 내·외에서 인체에 자극을 가하거나 가해졌을 때 감각신경은 자극을 뇌
    리포트 | 8페이지 | 1,000원 | 등록일 2015.09.04
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감