• 통합검색(2,056)
  • 리포트(1,055)
  • 논문(930)
  • 시험자료(50)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,221-1,240 / 2,056건

  • 논리회로설계실험 ALUkit (결과보고서)
    you test it위와 같이 port와 signal, clk를 선언하고KIT_ALU에 mapping을 시키고 clk을 process한다.위의 값들을 차례로 넣어주어 test ... .이 때 아래와 같은 process를 사용한다.이렇게 들어간 a, b의 값을 ALU를 통하여 연산을 하고 이렇게 나온 output을 segment와 led를 통하여 출력을 하 ... 을 하려면 번갈아가면서 해야한다. 따라서 아래와 같이 클럭에 따라 번갈아 출력될 수 있도록 process를 설계한다.-Provide specifications : input and
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • [운영체제 레포트] 리눅스(Linux)의 top 명령어 조사
    순서로 정렬* k : Process 종료- k 입력 후 종료할 PID를 입력 한다.- signal을 입력하라 표시되면 9를 넣어준다* c : 명령 인자 표시 / 비표시* l ... : uptime line(첫번째 행)을 표시 / 비표시* space bar : Refresh* u : 입력한 유저 소유의 Process만 표시- which user: 와 같이 유저 ... 을 반증하는 것이다.#세부 정보 필드별 항목PID USER PR NI VIRT RES SHR S %CPU %MEM TIME+ COMMAND- PID: 프로세스 ID(process id)- USER: 프로세스를 실행시킨 사용자 ID
    리포트 | 3페이지 | 1,500원 | 등록일 2015.04.12
  • 판매자 표지 자료 표지
    나이와 면역
    한 항원 자극 또는 self antigen으로부터 survival signal을 받지 못하게 되면, apoptosis를 거쳐 제거되는데, thymus에서는 이렇게 제거되는 naive T ... undergo a negative selection process in which autoreactive cells are clonally deleted. Mature SP CD4+ and ... . Age-dependent changes of antigen processing and presentation항원제시세포(antigen-presenting cell)의 항원 제시 능력
    논문 | 8페이지 | 3,000원 | 등록일 2022.12.12
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 결과6 Single gate mixer design
    mixer at the gate port and connected two P_1Tone element to mixing signal. The one has RF frequency, the ... signals are mixing . Another feature of this mixer which we designed is single gate mixer.Single gate ... designed in ADS shows mixing two signals with power and frequency changing. So we can see the
    리포트 | 8페이지 | 1,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • FPGA 보드를 이용한 Baseball, Up&Down게임
    istype statetype is(INIT,START1,DECISION1,START2,DECISION2,WIN);signal present_state, next_state : s ... tatetype;signal decp1_3,decp1_2,decp1_1,decp2_3,decp2_2,decp2_1 : std_logic_vector(3 downto 0); --숫자 ... 를 맞추기 위한 신호signal nump1_3,nump1_2,nump1_1,nump2_3,nump2_2,nump2_1 : std_logic_vector(3 downto 0); --숫자
    리포트 | 26페이지 | 3,000원 | 등록일 2013.10.01
  • 레포트(운동역학2)
    있다.그림 2. 근육의 구성과 신경신호의 전달과정.Figure 2. Muscle tissue and transmission process of nerve signal.이런 근전 ... signal근육이 수축할 때 발생되는 근전도 신호의 해부도는 그림 1과 같으며 그 개념은 다음과 같다. 신체 내·외에서 인체에 자극을 가하거나 가해졌을 때 감각신경은 자극을 뇌
    리포트 | 8페이지 | 1,000원 | 등록일 2015.09.04
  • 리눅스 명령어 정리
    보냄-9:가장 확실하게 실행중인 프로세스를 종료시킴signal-ANSI C 시그널을 처리한다.nice-스케줄링 우선권을 변경하여 프로그램을 수행한다.옵션]-n adjustment ... 할 때, 한 명령의 결과가 다른 명령으로 전송되는 통로이다. 명령어를 하나하나씩 일일이 치지 않고도 한꺼번에 여러 가지를 실행할 수 있어 편리하다.ps(process)-현재 진행중인 ... 프로세스에 대한 정보를 출력한다.pstree(process tree)-ps 에 의해서 출력되는 프로세스 정보를 트리 형태로 나타낸다.top-cpu와 메모리를 사용하는 작업
    리포트 | 15페이지 | 1,000원 | 등록일 2014.04.16 | 수정일 2014.05.15
  • Double Sideband Modulation (DSB)
    to the carrier is discussed.◈ExperimentDSB signal generation-Result from prelab[Figure1_DSB signal from ... prelab][Figure2_DSB signal with sine wave][Figure3_DSB signal with triangle wave]Analysis 2. (a ... ) Estimate the bandwidth Bt of the DSB signal.☞ 500Hz(b) Could the message signal be recovered at the
    리포트 | 10페이지 | 1,000원 | 등록일 2012.02.11
  • MRI
    timulationArtery Tissue VeinOxy-HbDeOxy-Hb(rest state) Bold techniquePicking up the BOLD signals(activation ... MRIADC = (logSI0/SI1)/(b0–b1) b1, b0 : two different diffusion sensitivity factors S0, S1 : signal in ... dimensional diffusion process 1 measurement DTI : 3 dimensional diffusion process multiple
    리포트 | 70페이지 | 7,000원 | 등록일 2014.06.04
  • 전기전자응용실험 Chapter 9 Design Project: Introduction to Line Tracer Pre-report
    divided by several parts. First, the sensor board detects the line on the floor and sends the signal to ... needed to move the line tracer. To drive motors, series of signals from sensor should be calculated ... on the CPU, and these control signals must be sent to the motor board. To define the specifications
    리포트 | 4페이지 | 1,000원 | 등록일 2012.08.05
  • Autocorrelation Rx(τ)
    ; %center freqfs=1000; %sampling freqt=0:1/fs:100; %time domaint_length = length(t); % sample signal lengthT ... randn(1, sample signal length) randn(m,n) 함수는 평균이 0이고 분산이 1인 정규 (standard normal) 확률 변수를 m-by-n 행렬 ... 로 생성x_t=s_t+w_t; % input signal + white noise2) Sx(f) =FFT_x_T = fft(x_t,N)/N; % FFT변환S_FFT_x_T=2*abs
    리포트 | 7페이지 | 2,500원 | 등록일 2012.06.22
  • 3 세포와 세포사멸 세포분자이동
    R3Functions of Membrane Proteins Transport Receptor for signal transduction Attachment to c ... cellsTypes of Membrane Transport Active processes Energy( ATP ) required Occurs only in living cell ... membranes Passive processes No cellular energy( ATP ) required Substance moves down its concentration
    리포트 | 22페이지 | 2,000원 | 등록일 2013.05.22
  • Digital System Design VHDL(디지털 시스템 디자인 VHDL)
    ) Architecture ex of entiry is signal my_signal : std_logic_vector (3 DOWNTO 0); begin PROCESS ... (...) BEGIN My_signal = 0000; My_signal (3) = '1'; My_signal (1) = '1'; END PROCESS; End ex; Architecture ex ... 을 알고리즘 레 벨에서 표헌 . Process 나 subprogram 내에서 사용된다 . 차례대로 수행되는 문장 . Wait, signal 할당문 , assert 문 , exit 문
    리포트 | 53페이지 | 3,500원 | 등록일 2011.11.08
  • 면역
    ; 세포에 구멍을 낸다.? stimulating adaptive immunity ; 림프구가 활성화 되려면 antigen을 수용체에 결합하여 개체수를 늘림. signal을 받아야 함 ... .y of immunogical models- 1959, self & non-self model, Burnet- 1969, T heper의 signal 2, Bretscher ... , Janeway & Medzhitov- 1994, distressed or injured cell의 alarm signal이 APC 활성화, Matzinger획득면역▶ T cell
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.13
  • 비지니스영어 과제 만점짜리
    the company to receive signals from up to eight antennas to select the best signals and remove ... indirect signals that may impair the speed, LG Uplus said. “The technology is expected to be c ... . “The telecom companies are still in the process of developing chip sets, and the additional
    리포트 | 3페이지 | 1,000원 | 등록일 2014.07.30
  • Chalmers Johnson, MITI and the Japanese Miracle SUMMARY
    which responded to the signals from the government could easily get strong governmental ties.Japan’s ... officials could have more powerful influence than a parliamentary assembly and for the process of
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.06
  • 비동기카운터 클럭
    : buffer std_logic ); end cnt_4; architecture behave of cnt_4 is signal temp : std_logic_vector(2 ... downto 0):="000"; begin process(clk, rst) begin if rst=`1` then if clk`event and clk=`1` then cnt_out ...
    리포트 | 6페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 비교기설계
    signal A, B, EQ : std_logic; component bit_1 port( A, B : in std_logic; EQ : out std_logic ); end component; ... std_logic ); end bit_1; architecture behave_bit_1 of bit_1 is begin process(A, B) begin if A = B ... then EQ
    리포트 | 4페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • Brand Marketing 브랜드마케팅 개념, 사례 (영문PPT)
    -quality and shabby products quite easily . Quality signal2 . Brand History Today In the 1950s, c ... Concept… 3-4 Brand History… 5-7 Branding Process… 8-12 Brand Evaluation… 13 Branding process Example… 14 ... identity that distinguished it from nearly indistinguishable competitors. Equity3 . Branding Process 1
    리포트 | 21페이지 | 1,000원 | 등록일 2014.11.30
  • 독특성 추구성향과 호기심이 아트 콜라보레이션 제품에 대한 소비자의 감정에 미치는 영향: 성별에 따른 조절효과 (The Effect of Curiosity and Need for Uniqueness on Emotional Responses to Art Collaborated Products including Moderating Effect of Gender)
    signals conveyed by the material objects that individuals choose to display. Recently, researcher ... , innate preferences, etc.), only limited attention has been paid to the processes by which consumers ... uniqueness show different motivational processes. Previous research also demonstrates that different c
    논문 | 29페이지 | 무료 | 등록일 2025.05.25 | 수정일 2025.05.27
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 06일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감