• 통합검색(575)
  • 리포트(520)
  • 자기소개서(39)
  • 논문(15)
  • 시험자료(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"verilog코드" 검색결과 521-540 / 575건

  • verilog 4bit alu
    101xG=A?BXOR111xG=NOT(1의보수)○설계 회로와 구현한 프로그램 소스(verilog HDL or VHDL codes)module arth(A,B,S0,S1,X,Y
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • 연세대 전기전자 기초실험 8. 조합 회로 설계 실험 (예비보고서)
    하고, verilog 시뮬레이션을 진행하여 FPGA Kit로 결과를 확인한다. 또한, 디지털 회로에서 숫자를 표시하기 위한 7-세그먼트 제어기의 동작 원리를 이해하고 verilog ... 멀티플렉서, 인코더/디코더의 verilog 시뮬레이션 수행및 FPGA Kit 실험 수행④ 7-세그먼트 제어기의 동작 원리를 이해⑤ 7-세그먼트 제어기의 verilog 시뮬레이션 및 ... 하는 10진수 등을 입력으로 받아들여 2진 코드의 형태로 변환하여 출력해주는 장치를 말하며 ‘부호기’라고도 한다. 따라서 멀티플렉서가 여러 개의 신호중 하나를 선택하여 출력하는 반면
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • Verilog HDL을 이용한 PIG Game 설계
    였다. 이에 대한 Verilog 코드는 아래와 같다.// clock divider1if(RESET==1)Clk_div = 20'd0;elseClk_div = Clk_div+20'd1 ... Verilog HDL을 이용한PIG Game 설계학 과:학 번:이름:Professor:Abstract1. PIG Game 소개PIG Game 특징PIG Game은 한 개의 주사위
    리포트 | 21페이지 | 3,000원 | 등록일 2011.12.24
  • multiplexer
    6개의 입력 변수를 갖는 4×1, 8×1, 16×1 multiplexer를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity mux_4_1 isport (x:in std_logic_vector(3 downto 0);..
    리포트 | 6페이지 | 2,000원 | 등록일 2009.06.05
  • 디지털 논리 실험, 기본 논리 게이트 결과 보고서
    , 그림 1-4와 같이 Verilog HDL 코드로 작성하여 시뮬레이션을 통해 동작을 검증하시오.timescale 1ns/1psmodule tb_gate;reg AND_A, AND_B
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.18
  • COUNTER
    를 설계해본다Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정
    리포트 | 11페이지 | 1,000원 | 등록일 2010.03.26
  • 디지털 논리 실험, 멀티플렉서와 디멀티플렉서, 인코더, 디코더 결과 보고서
    XXXXXX10110XXXXXXX1111Ⅰ 결과분석 및 고찰이번 실험은 Han Back Digital Training Kit를 이용해서 verilog로 작성한 코드를 설정된 제어 ... ??Ⅹ?Ⅸ 실험결과0. 4×1 MUX(0) 소스코드module MUX_4_TO_1 ( I0, I1, I2, I3, Y, S );input I0, I1, I2, I3;input ... Editor로 입출력핀 할당(4) Timing Analyzer(5) Truth tableS0S1Y00I001I110I211I31. 1×4 DEMUX(0) 소스코드module DEMUX_1
    리포트 | 11페이지 | 2,000원 | 등록일 2009.07.18
  • 4x1 Verilog MUX 설계
    이 불러졌을 때, Verilog는 템플릿으로부터 고유한 객체를 생성한다. 각 객체의 이름, 변수, 파라미터, 그리고 입출력 인터페이스를 가지고 있다. 모듈템플릿으로부터 객체를 생성 ... 이다.불 대수식은 y=~(a&b)이다.Instance nd20,nd21,nd22는 같은 계층 레벨에 있는 모듈들이다.4.검증(1) mx2 코드의 검증방법은 Exhaustive ... 이 option에서 일치시켜야 된다는 것을 배웠다. 쿼터스 조작이 아직 미숙한 것 같다. 이러한 실수를 통해서 다음부터는 좀 더 쿼터스 사용에 익숙해질 것 같다. Verilog문법
    리포트 | 9페이지 | 1,500원 | 등록일 2010.12.21
  • ADDER COMPARATOR
    과 FUNTIONAL SIMULATION을 통해 결과값을 확인해본다.Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog ... 해 본다. 비교기(Comparator)는 3가지 연산기호( , =)에 대해 각각 성립하는 경우 1의 값을 주워지도록 한다. 코드를 완성한 후TIMING SIMULATION
    리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • 8 bit adder carry look ahead
    부호를 갖는 8 bit adder를 Carry-Look-Ahead 방법으로 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity carry_look_adder isport(a, b:in std_logic_vector (..
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • Verilog를 이용한 교통신호제어기(TLC) 설계
    된 변수3. Verilog 설계유사 코드//Define True/False & DelaysTRUE 1'b1, FALSE 1'b0, LI1 8, LI2 6, SI 2//Module ... values of main signal and country signal//State machine using case statementsVerilog 코드 - sig_control
    리포트 | 11페이지 | 1,500원 | 등록일 2009.11.17
  • [Verilog소스]래치,플립프롭의 기본및 응용
    ComboII 보드의 사용을 위한Quartus II 를 이용한 Verilog 코드 입니다.모두 테스트를 거쳐서 만든 것이라 작동은 확실 합니다.현재 Combo II보드로 핀 ... 이 설정 되어 있으므로Xlink사용하시는 분들은 핀만 재설정해 주시면 됩니다.현재 거의 대부분의 대학에서 VHDL보다 Verilog로 많이 하고 있고플립플롭, 가산기 같은 것은 필수 이기 때문에 많은 도움 되시리라 생각합니다.
    리포트 | 1,000원 | 등록일 2007.04.19
  • 디지털회로 [ 주파수 분주기 _ 사전 ]
    /24 배 분주기를 verilog HDL로 표현하였다.? 1/24 배 분주기 - Verilog HDL 소스 코드module Div_2n (clk_16M, out_8M, out_4M ... 10. 주파수 분주기-사전 보고서-제출일실험조이름07-11-10? 실험목적이번 실험의 목적은 Verilog HDL을 사용한 회로 설계 방법과, Quartus, FPGA 사용법
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.09
  • verilog로 구현한 혈압측정기
    Verilog로 구현한 혈압측정기순서혈압기의 구성 혈압측정의 원리 혈압측정기의 작동원리 시뮬레이션 Verilog코드 분석혈압 측정기의 구성압력을 측정하는 압력계공기를 불어넣
    리포트 | 11페이지 | 20,000원 | 등록일 2007.12.23 | 수정일 2014.05.14
  • 디지털 논리 실험, 멀티플렉서와 디멀티플렉서, 인코더, 디코더 예비 보고서
    더)Ⅲ. Verilog HDL Code1) 1. 4×1 MUX의 Verilog HDL 코드module MUX_4_TO_1 ( I0, I1, I2, I3, Y, S );input I
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • FF, SP CONVERSION
    Webpack의 기능▶ VHDL 코드 합성Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정을 수행하도록 지원한다. ISE는 기본
    리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • 판매자 표지 자료 표지
    [VHDL] Entity, Architecture, VHDL, Process문
    에서 프로그래밍된 병행문은 하드웨어상의 네트리스트와 같은 의미로서 수행되기 때문에 코드 내의 위치상 선후 관계와 실행 순서와는 실제 아무런 상관이 없다. 소프트웨어 프로그래밍과의 중요 ... 을 이용하여 회로를 구성하였으나 지금은 일반적인 프로그래밍 개념을 접목한 HDL을 이용하는데 그 대표적인 것이 VHDL과 Verilog-HDL이다. HDL이란 이와 같이 동작특정 ... tatements)으로 구성 되어 있지만 HDL은순차구문 이외에 병렬구문(concurrent statements)과 타이밍 개념이 있는것이 차이점이다.② HDL의 종류1) Verilog
    리포트 | 4페이지 | 1,500원 | 등록일 2009.05.04
  • Verilog HDL을 이용한 32bit ALU with CLL(Carry Lookahead Logic) 설계하기
    이와 같이 설계한 32bit ALU의 coding은 다음과 같다.module cla_32bit(a,b,carry,alu_op,r,carry_out,v,z);input [31:0
    리포트 | 16페이지 | 2,500원 | 등록일 2009.11.13
  • BCD-seven segment
    BCD를 seven segment로 변환하는 회로를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity bcd_7_seg isport ( x:in std_logic_vector ( 3 downto 0 ); ..
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 디지털 논리 실험, Half adder와 Full adder 실험 결과 보고서
    *************10111010001101101101011111실험 6에서는 Half Adder와 Full Adder의 원리를 공부하고 그 원리를 토대로 회로를 구성하고 Verilog HDL로 코딩하여 결과를 확인해보 ... /Subtractor의 진리표실험 7에서는 2의 보수를 이용한 4-bit Adder/Subtractor에 대해 공부하고 코드로 설계해 보았다. 기본적으로는 실험 6의 전가산기와 같은 원리
    리포트 | 4페이지 | 1,500원 | 등록일 2009.07.18
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 07일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감