• 통합검색(164)
  • 리포트(129)
  • 자기소개서(33)
  • 시험자료(1)
  • 이력서(1)

"VHDL설계및실습" 검색결과 21-40 / 164건

판매자 표지는 다운로드시 포함되지 않습니다.
  • SoC 보고서 - 4.8051
    .코드 2-B-2RAM 신호 및 컴포넌트RAM의VHDL 코드임. 이미 설계된 것을 몇가지 설정을 거쳐서 그대로 가져와서 쓰는 것임.GENERIC에서 작성자가 프로그램을 작성하는 데 ... 설명 --------------------------- p.83. 시뮬레이션 결과 및 설명 ------------------------ p.274. 실습보드 적용 결과 ----- ... 와 연동해서 테스트를 할 수 있다.즉 SoC를 하기 위해서는 이미 존재하는 마이크로프로세서의 내부구조 및 동작 방식을 정확히 알고 있어야 한다. CPU/MCU를 직접 설계하지는 않
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 판매자 표지 자료 표지
    [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 1 실험결과보고서
    HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, 구현 등의 용도로 사용가능 ... Chapter 1. 실험 목적Verilog HDL을 통해 FPGA를 이용하여 AND gate를 설계한 후 led동작을 확인해본다.Chapter 2. 관련 이론ü Verilog ... 하다.- HDL을 사용해 설계를 할 경우 회로도 작성 대신 언어적인 형태로 전자회로의 기능을 구성한다.- 회로를 구성하는 Synthesis 부분과 회로의 동작을 가상으로 시험
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • VHDL-1-가산기,감산기
    REPORT실습제목: 반가산기1. 주제 배경 이론2진수의 덧셈을 구현하는 회로이다. 한 자리 수만 존재한다고 가정한다. 이때 두 수의 합은 일의 자리에 나타나고 받아올림이 발생 ... = AB 이다.2.1 소스코드 설명(Schematic)카르노맵을 통해 나온 부울식인 Sum = A’B+AB’ = A xor B, Carry = AB를 그대로 설계한 것이다. X, Y ... )0000101001112.1 소스코드 설명(VHDL)소스코드설명library ieee;use ieee.std_logic_1164.all;entity Half_Adder isport( X : in
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    tate를 설정하는 process로, 실습에서는 43개의 state를 이용한다. 조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 43개의 state ... 1.목적(Purpose)이번실습은 FPGA의 LCD를 조작하는 실습이다. 이전 실습이었던, 7segment를 조작하는 방법과 같이 Process 여러개를 이용하여, 클럭값 ... 문자나 수를 표시하는 장치로, 이번 실습에서 정보를 나타낼 장치이다. 총 16자리, 두줄을 이용하며, LCD를 이용하기 위해, 설정값으로 6자리, 데이터를 표시할 32자리, 줄
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 순차논리회로설계 결과레포트
    , VHDL설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다.[이론내용]▣ 순차논리회로와 상태도▷ 상태도 (FSM ... 의표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증 ... 와 순차논리회로를 함께 설계해야하는 경우가 많다. 보통 순차논리회로를 설계하려면 상태도와 상태표를 작성한 후 사용할 플립플롭에 맞게 입력하고, 현재 상태 및 다음 상태를 반영
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    Methods가. 실험 장비HBE Combo-II SE3. Result(1) [실습 1] AND GATE 로직 설계LogicPin 설계한 AND Gate의 동작을 확인하는 모습 ... 000010100111(2) [실습 2] Single-bit half Adder 설계LogicPin 설계한 Half Adder의 동작을 확인하는 모습 (위에서부터 차례로 입력 AB의 값 ... *************101(3) [실습 3] Single-bit Full Adder 설계LogicPin 설계한 Single-bit Full Adder의 동작을 확인하는 모습 (위에서부터 차례로 입력
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. 클록 분주기를 이용하여 실제 분, 초, 1/100초에 가깝게 클록 ... 논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. 설계 배경 및 목표1) 설계 배경지난 설계과제를 통해 BCD가산기를 만들었고, 7segment를 통해 ... 하는 코드를 작성하여 이것이 가진 십진수에 맞게 led를 작동시켜 표현하여야 한다.4. 설계 결과 및 결과 분석1) 소스 코드선언부수학연산(+,-)하기 위해 library에서 선언
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • SoC 보고서 - 1.동기통신(PS2)
    )시뮬레이션 결과 및 설명ps2_keyboardps2_receiver실습보드 적용 결과DE2 보드를 이용한 실습은 진행하지 않았다.실습소감ps2 프로토콜을 사용하는 동기 통신을 설계 ... (test bench)3. 시뮬레이션 결과 및 설명 ------------------------ p.15A) ps2_keyboardB) ps2_receiver4. 실습보드 적용 결과 ... ----------------------------- p.215. 실습소감---------------------------------------- p.22배경 이론통신의 종류 및
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    :00:00으로 초기화 되어서 나타나는 것으로 보인다.2)Algorithm 설명 및 이해이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다. 5개의 process ... )reference 및 확장방향이번 실습은 그동안 해왔던 코딩을 시뮬레이션을 넘어, FPGA를 통해 실제 제품으로 연결 지어 보는 실습이기에, 시계뿐 아니라 다양한 제품들을 구상해볼 수 ... . Source & Results1)VHDL Source2)Testbench Source3)Result wave이번 실습에서는 클럭수가 많기 때문에, 값이 바뀌는 부분들을 확대하여 기록
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    . 배경이론 및 사전조사HDL 기반 설계 방식은 크게 두 가지가 있다. 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL ... 적으로 확인한다.[실습 2] 위의 과정을 Gate Primitive를 이용하여 2-input AND 게이트 설계를 진행하시오.[실습 2]부터는 모듈 작성 이후 시뮬레이션 및 c ... 시킬 수 있다. 초기 설계과정에서 오류 수정이 용이하고 합성에 의한 회로 생성 및 설계 변경 역시 쉽다. 또한 상위 수준의 설계가 가능하고 다양한 설계기법 검색에 의해 최적화에 도달
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    수님Name0INDEX1. 개미의 하루 의 정의 및 설계 ····················· 32. 개미의 하루 의 동작원리 및 기능 ············· 53. VHDL ... 에서 바로 가장 낮은 배열로 초기화하여 사용할 수 있도록 전역 변수 역할을 하도록 설계하였습니다.3. VHDL 코드 및 핀 할당library IEEE;use IEEE.STD ... FINAL TERM PROJECTReporting date2018.06.22Major전자공학과Subject디지털시스템설계실습VHDLStudent ID5Professor/ㅓㅏ=교
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 판매자 표지 자료 표지
    122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    , 시뮬레이션, 게이트 레벨 합성, 포스트 시뮬레이션을 통한 회로의 동작 및 특성 확인과 같은 반도체 설계 과정을 수행하고, 이를 토대로 회로를 설계 및 검증하고 고객에게 솔루션을 제공 ... 하는 직무를 의미합니다.기술 동향반도체 디지털 회로 설계 기술의 최근 특기할 만한 변화는 다음과 같습니다:고성능 및 저전력 요구 사항이 증가하였습니다.새로운 재료와 소자 기술이 부상 ... 하고 있습니다.머신러닝 및 인공지능 기술의 적용이 진행 중입니다.설계 도구의 발전으로 설계 과정이 향상되고 생산성이 향상됩니다.HDL 코딩의 개념과 기술동향개념H D L 코딩이란
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    만들기34case 구문을 사용한 Dual Counter 만들기45횡단보도 제어 시스템 설계하기66ADC(아날로그 -> 디지털 컨버터) Controller 설계하기97ADC MODEL ... 설계하기111. State Machine(상태 머신) 개요디지털 회로에서 상태머신은 설계 가능 논리 소자, 프로그래머블 로직 컨트롤러, 논리 회로 그리고 플립플롭 또는 전자계전기 ... 하다. 주어진 상태 천이표와 상태 다이아그램 등을 이용하여 적절한 상태머신을 설계한다.상태머신의 특징1. State Machine으로 모델링 되는 시스템은 유한한 개수의 State 를 갖
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 판매자 표지 자료 표지
    multiplexer(멀티플렉서) VHDL 실습보고서
    1.목적(Purpose)이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이 ... 하는 식으로 설계한다. 지난 실습에 이어 component를 이용하여 실습하는데, 자일링스 상에서 먼저 2-1multiplexer을 모듈로 만들고, 이 모듈을 component ... multiplexer내부회로도2)8-1 multiplexer오늘 실습에서 구현하고자 하는 것은 8-1 multiplexer이고, 그 내부를 구성하는 방법으로, 2-1
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • VHDL 설계 실습 보고서 (전감산기 설계)
    VHDL 설계 실습 보고서 VHDL Lab_01일 시학 번이 름제 목전감산기 설계실습 목적전감산기는 한 자리 2진수 뺄셈을 할 때 전가산기에서 더한 결과 캐리가 발생하는 것 ... 과는 반대로 아래 자리에서 발생하는 빌림수를 고려해야 한다. 또한 결과는 감산한 결과와 위에서 빌림수를 나타내야 한다. 전감산기를 설계하는 과정을 통해 조합논리회로를 VHDL설계 ... 를 설계하여 시뮬레이션한 결과는 위 진리표에서의 값과 같게 나오는 것을 확인 할 수 있었다.전감산기의VHDL 설계1. 전감산기를 VHDL설계하고 아래에 VHDL 코드를 작성하시오
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.29
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    실습제목: 4비트 비동기 2진 상승 카운터1. 주제 배경 이론카운터는 event의 횟수를 카운트 하는 기능을 가진 순차회로이다. 주로 플립플롭을 이용하여 만든다. 그래서 플립플롭 ... 코드 설명Counter1~2)라이브러리 선언4~8)플립플롭에 입력될 clock과 reset을 설정, 플립플롭의 출력 Y 설정12~14)설계한 JKFF 컴포넌트 선언16)JKFF ... 의 출력값을 임시로 저장할 signal 선언19~22)4개의 JKFF 생성 및 포트맵24)임시로 저장했던 값 출력JKFF1~4) 라이브러리 선언6~9) JKFF에 필요한 포트 설정12
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제]실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형 ... 을 구하고 파형을 분석한다.-4비트 가산기의 구현 조건1. 1bit full adder의 동작을 포함한다.2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다.3 ... logical 연산 동작을 한다.3-3. Cin의 초기값은 ‘0’이고 3ns간격으로 1과 0이 반복되며 바뀐다.1bit full adder의 설계과 구현CinXY01CinXY
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    _file배열의 값을 매칭시켜준다. 추가적으로, 각 state마다, 다음 state값도 지정하여 준다.4)References및 확장방향Calculator 설계를 통해, 연산하는 방법 ... 1.목적(Purpose)이번실습은, FPGA의 버튼들을 이용하여, 값을 입력하고, 덧셈과 뺄셈 연산을 하는 계산기를 만들어 보는 실습이다. 이전 실습에서 배웠던, LCD의 표시 ... 을 지정해야 하기 때문에, 조건문을 이용하여, 범위마다 나올 계산값을 설정한다.2. 배경이론(Background)1)LCD이전 실습에서와 같이 FPGA의 LCD를 이용한다. 코드
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 판매자 표지 자료 표지
    도쿄일렉트론코리아(TEL) 자소서
    의 이해 및 실습]반도체공학, IC 프로세스 등의 수업을 통해 반도체의 기초이론과 공정 과정 등을 배웠습니다. 또한 PMIC 반도체인 LDO Regulator 설계 프로젝트를 통해 ... 의 가치를 알게 되었습니다.이러한 리더쉽은 남을 이해하려는 역지사지의 자세에서 비롯됩니다. VHDL과 FPGA를 이용하여 사칙연산 계산기를 제작한 적이 있습니다. 과정 속에서 다양 ... 공정하고자 하는 반도체의 회로구조와 설계과정을 익힘으로써 반도체 장비의 이해를 심화했습니다. 또한 주파수 보상회로, RC Filter와 같은 다른 조와는 차별화된 해결책을 도출
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.07.05
  • 판매자 표지 자료 표지
    한국수력원자력 전자 직렬 첨삭자소서
    웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소 ... 님의 행동 등이 잘 드러나지 않네요.전자회로 프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7 ... 된 활동은 어떤 내용인지 간략히 기술해 주십시오. (200자 이내)[책 이외의 프로젝트에 참여]전자공학도로서 이론적인 전공을 습득하는 것보다 실습 수강을 하면서 이론을 바탕을 둔
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.03
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 05월 29일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감