• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(256)
  • 리포트(217)
  • 논문(30)
  • 시험자료(8)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Vector Mode" 검색결과 101-120 / 256건

  • 산술논리회로(ALU)
    Behavioral of ALU is signal mode : std_logic_vector(1 downto 0); signal inst : std_logic_vector(1 downto 0 ... port(ir_bus : in std_logic_vector(3 downto 0); in_a : in std_logic_vector(3 downto 0); in_b : in std ... _logic_vector(3 downto 0); alu_out : out std_logic_vector(3 downto 0)); end ALU; architecture
    리포트 | 11페이지 | 1,000원 | 등록일 2010.05.11
  • 항공계기에 관하여 논하시오.
    )MAP 데이터선택 스위치RANGE 선택 스위치ND MODE 선택 노브기상 레이더ON/OFF 스위치무선 항법장치선택 스위치(왼쪽)DH, DA/MDA설정 노브 및리셋 스위치그림 10 ... 방향을 감각적으로 잡을 수 있게 한 FPV(flight path vector), 마커등(maker beacon)을 표시한다. 또 과거의 항공기에는 없었던 저층 윈드 쉐어(wind
    리포트 | 4페이지 | 1,000원 | 등록일 2014.11.30 | 수정일 2021.05.05
  • 미약생체신호, 인체신호전달, 68000의 신호, 디지털신호처리 압축알고리즘, 집적회로 신호전압, 소신호증폭기 주파수, 통신시스템의 신호해석, 라플라스변환과 신호, 수기신호 분석
    , FC1, FC2)6. 시스템 제어 신호(RESET, HALT, BERR, MODE)1) 외부 리셋(하드웨어 리셋)2) 내부 리셋(소프트웨어 리셋)3) HALT4) BERR(Bus ... -vectored) 인터럽트3) 벡터 인터럽트8. 동기 버스 제어 신호(E, VPA, VMA)Ⅳ. 디지털신호처리의 압축알고리즘Ⅴ. 컬러TV의 신호전달1. 각국의 컬러 TV 방식1 ... 로부터 인터럽트 요구가 있으면 CPU는 우선 순위에 따라 인터럽트 서비스를 수행한다.인터럽트 제어 신호 IPL0,IPL1,IPL2는 소위 “auto-vectored 인터럽트
    리포트 | 25페이지 | 7,500원 | 등록일 2013.04.01
  • 인터럽트 처리과정
    : CPU가 각 주변 장치를 소프트웨어 적으로 차례로 폴링하는 순서에 의하여 인터럽트의 우선순위 결정 * 우선순위 변경 가능 ☞ vectored interrupt : 하드웨어 인터럽트 ... 트리거 모드 (Edge Trigger Mode)로우 레벨 모드 (Low Level Mode)ITx = 1ITx = 08051에서 외부 인터럽트를 요청 받는 방법에는 에지 트리거 ... 모드와 로우 레벨 모드 등 2가지가 있다.외부 인터럽트① 에지 트리거 모드(edge trigger mode) 이 모드는 신호가 바뀌는 시점을 중시하는 모드이며, 8051모드
    리포트 | 29페이지 | 1,000원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • 전기전자응용실험 Chapter 6, 7 80C196KC Microcontroller Pre-report
    20MHz operating frequency, 28 interrupt sources and 16 vectors. It has a 232-byte register files ... -bit data input/output mode basically. However in sometimes, several ports perform different tasks in ... input mode for digital/analog data. PORT1 supports input/output mode, and has some special function for
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.05
  • 도서대출에 관한 디지털시스템 보고서
    2. VHDL code 분석? 책 한 권을 대출/반납하는 구문ENTITY BOOK_8 ISPORT(MODE : IN STD_LOGIC;-- 모드 (대출/반납)B : IN STD ... _LOGIC_VECTOR(0 TO 5);-- 책 (6권)CLK : IN STD_LOGIC;-- 클럭DOT_D : OUT STD_LOGIC_VECTOR (13 DOWNTO 0);- ... - 연체표시 정의DOT_SCAN : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);-- 연체표시Y : OUT STD_LOGIC_VECTOR(0 TO 5)-- LED출력
    리포트 | 14페이지 | 1,000원 | 등록일 2010.06.12 | 수정일 2015.05.19
  • Singular value decomposition 과 sparse matrix
    를 diagonalizing 시킨다.그러므로 기계진동학에서의 eigen value는 그 진동 자체의 고유진동수의 제곱이 된다. 그리고 eigen vector를 이용한 mode analysis는 그것 ... 이론이다.이 이론은임을 응용하여 다음과 같이 나타낼 수 있다.,즉,행렬을 eigen value와 eigen vector로 decomposition한 것과 일치 한다. 그러므로의 s ... qrt(eigen value)는 SVC의 singular value가 되고, SVC의 input이 되는 orthogonal vector는의 eigen vector가 된다. 또 다른
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.13
  • 디지털 시계 설계
    _logic;SET : in std_logic;MODE1 : out std_logic_vector (1 downto 0);MODE2 : out std_logic_vector (1 ... 상 각 modul 간의 관계를 나타내는 블록 다이어그램4. VHDL 소스◎ MODE_GEN.VHDlibrary IEEE;use IEEE.std_logic_1164.all;use ... IEEE.std_logic_unsigned.all;entity MODE_GEN isport (CLK : in std_logic;SW1 : in std_logic;SW2 : in std
    리포트 | 22페이지 | 2,500원 | 등록일 2010.06.25
  • VHDL를 이용한 LCD 설계
    화는 다음tion set : 2-line mode, display off-Display OFF : display off, cursor off, blink off-Entry mode set ... : Increment mode, Entire shift off- Display ON : display on, cursor off, blink off- Describe how do ... 0000011NF**- Function SetN=0이면 1-line mode, N=1이면 2-line mode로 표시하고, F=0이면 display off, F=1이면 display on
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 판매자 표지 자료 표지
    <컴퓨터 구조론> - 디지털 논리이론
    ), IMBR(I) (op code와 Mode 비트 전송) t3: R1, F1 (R=1이면 간접 상태로 전이, F=1이면 실행 상태로 전이) 간접 상태(indirect state ... 체제와 동작 원리인터럽트 벡터(Interrupt vector) 인터럽트 발생 시 인터럽트를 발생하는 장치가 프로세스에게 분기에 대한 정보를 제공하여 인터럽트 서비스 분기 번지
    리포트 | 189페이지 | 3,000원 | 등록일 2015.09.16
  • 서강대학교 마이크로프로세서응용실험 9주차결과
    를 연동하여 활용하는 방법(rest, gated modes)에 대해 이해한다.● 타이머의 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다.3. 이론마이크로 ... {2048 TIMES 4097} =8Hz로 계산된다. TIM3 Interrupt는 Vector table에서 45번째 Interrupt이며, 45-16=29, NVIC ISER ... 의 vector table에서 확인할 수 있듯이 TIM2와 관련된 인터럽트인 44번 인터럽트를 enable해준다. 또한 line30의 tim2_CR1의 bit0을 1로 하는 것
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 자판기
    ; entity vendingmachine is port( clk , reset : in std_logic ; key : in std_logic_vector (5 downto 0 ... _vector (6 downto 0); sel_decode : out std_logic_vector (5 downto 0)); end vendingmachine ... 15) return std_logic_vector is variable seg_decode : std_logic_vector (6 downto 0); begincase cnt is
    리포트 | 11페이지 | 1,500원 | 등록일 2009.10.10
  • (최신)넥슨 소개와 성공요인
    RENDERING SURFACE SLOPEUSING A SLOPE VECTOR) 9. 온라인 만화 제작 게임 서비스를 제공하는 방법 및 시스템 (METHOD AND SYSTEM FOR ... PROVIDING ONLINE CARTOONPRODUCTION SERVICE) 0WHICH SUPPORTS RELAY MODE) 15. 게임 연동형 메시징 서비스 제공 방법 및 장치
    리포트 | 15페이지 | 1,500원 | 등록일 2014.01.20
  • 컴퓨터 교육자료 개발 - 엑셀 함수 조사
    _vector, Result_vector)인수 Lookup_value : 사용자가 찾고자 하는 검색조건이 있는 셀을 선택한다.(숫자, 문자열, 논리값 또는 값을 가리키는 참조 영역을 인수 ... 로 지정할 수 있다.Lookup_vector : 하나의 행이나 열이 들어있는 영역으로서 검색하려는 데이터들이 포함된 데이터표의 영역을 지정한다.Result_vector : 하나의 행 ... 이나 열이 들어있는 영역으로서 데이터 검색결과와 포함된 데이터 표의 영역(Lookup_vector와 크기가 동일해야한다)13. VLOOKUP 많은 데이터 목록에서 원하는 값을 찾
    리포트 | 5페이지 | 1,000원 | 등록일 2011.06.06
  • ADDER COMPARATOR
    용 확장 connectorMode설정 DIP SwitchSlave Serial Mode직접 PC에서 JTAG cable을 이용하여 Download하는 방식Master Serial ... ;entity eight_bit isPort ( A : in STD_LOGIC_VECTOR (7 downto 0);B : in STD_LOGIC_VECTOR (7 downto 0);SUM ... : out STD_LOGIC_VECTOR (7 downto 0);CARRY : out STD_LOGIC);end eight_bit;--8비트 출력포트와 입력포트를 설정한다. car
    리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • vhdl을 이용한 shifter 설계
    1. PurposeFilp-Flop의 동작 특성을 이해한 후, Flip-Flop을 이용하여 Mode 입력에 다른 Circular, Logical, Arithmetic s ... .Flip-Flop을 이용하여 Mode 특성에 따른 Circular, Logical, Arithmetic shifter를 설계한다. 예제에 제시된 조건으로는 주어진 entity를 사용 ... , 그리고 reset은 동기화 방식을 적용할 것인지, 비 동기화 방식을 적용할 것인지 판단해야 한다.또한 mode 입력에 따라 4개의 shift 종류(circular shift : 오른쪽
    리포트 | 7페이지 | 2,000원 | 등록일 2009.11.12
  • ARM9 의 특징및 구조,
    flags)를 저장함.- interrupt disable 여부, operating mode, operating state에 대한 정보도 함께 가지고 있음.- CPSR은 현재 상태 ... 정보를 담고 있으며, 다른 하나인 SPSR은 현재 operating mode로 변경되기 직전의 상태 정보를 담고 있음Mode bitsM[4:0]Mode10000User10001 ... - banked register : 같은 이름으로 접근하지만 해당 operating mode 일때 다른 operating mode 일 때와는 다른 독립적인 값을 가짐.- Register
    리포트 | 6페이지 | 5,000원 | 등록일 2010.03.09
  • BCD to EXCESS-3 CODE CONVERTER
    connectorJ9, J10 : 8개의 Clock 용 확장 connectorMode설정 DIP SwitchSlave Serial Mode직접 PC에서 JTAG cable을 이용 ... _UNSIGNED.ALL;--use UNISIM.VComponents.all;entity BCDTOEXCESS3 isPort ( BCD : in STD_LOGIC_VECTOR (3 ... downto 0);//BCD코드를 받아들이는 포트설정EXCESS3 : out STD_LOGIC_VECTOR (3 downto 0));//변환된 EXCESS3를 출력하는 포트설정
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • DECODER
    connectorMode설정 DIP SwitchSlave Serial Mode직접 PC에서 JTAG cable을 이용하여 Download하는 방식Master Serial ... UNISIM.VComponents.all;entity decoder isPort ( sel : in STD_LOGIC_VECTOR (2 downto 0);y : out STD_LOGIC ... _VECTOR (7 downto 0));end decoder;architecture Behavioral of decoder isbeginy '0');--Outputssignal
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.26
  • 논리회로 설계실험 shift register
    , dir : in STD_LOGIC;mode : in STD_LOGIC_VECTOR(1 downto 0);pi : in STD_LOGIC_VECTOR(3 downto 0);q ... hift register들은 비동기 reset 및 동기 enable 로 작동하도록 구현할 것? mode port를 통해 shifter의 종류를 선택? direction port ... : out STD_LOGIC_VECTOR(3 downto 0));end shifter;표 shifter entityshifter 구현은 아래의 표를 따른다.표 프로그램 동작 표
    리포트 | 9페이지 | 1,000원 | 등록일 2009.07.10
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 11일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감