• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(256)
  • 리포트(217)
  • 논문(30)
  • 시험자료(8)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Vector Mode" 검색결과 121-140 / 256건

  • AVR128을 이용한 overflow interrupt(인터럽트)
    count=0, data=0; //declare void init_timer_counter0(void); # pragma vector=TIMER0_OVF_vect //iom ... ; //Normal mode(Timer/Counter0 overflow), 32 prescaler TCNT0 = 6; / /For get 10msec, Timer/Counter0 ... 90.h # pragma vector=INT4_vect __interrupt void INT4_inter(void); void initial_interrupt (void
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.10
  • 인터럽트와 EEPROM
    MCU의 기능을 설정Bit 0, 1 ISC00, ISC01 : Interrupt Vector Control 00, 01비트외부인터럽트(0)은 상태레지스터(SREG)의 I비트 ... -BORFEXTRFPORFWDRFRSee Bit Description..PAGE:8인터럽트 벡터 테이블의 배치를 제어Bit 0 IVCE : Interrupt Vector Chang ... EnableIVSEL 비트를 변경 시킬 때 IVCE 비트는 반드시 1이 되어야 함,이후 4사이클이 지나면 자동으로 클리어 됨Bit 1 IVSEL : Interrupt Vector
    리포트 | 33페이지 | 2,000원 | 등록일 2011.04.26
  • DECODER, ENCODER
    port용 확장 connectorJ9, J10 : 8개의 Clock 용 확장 connectorMode설정 DIP SwitchSlave Serial Mode직접 PC에서 JTAG ... handoutsegment isPort ( bcd : in STD_LOGIC_VECTOR (3 downto 0);sevenseg : out STD_LOGIC_VECTOR (6 ... downto 0);selector : in STD_LOGIC_VECTOR (1 downto 0));end handoutsegment;architecture Behavioral of
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • VHDL를 이용한 Digital Clock의 설계
    input portMODE1, MODE2 : out bit_vector (1 downto 0); -- MODE1, MODE2,INCREASE : out bit); -- INCREASE ... Design of A Digital Clock ChipVHDL Modeling Project Homework< Mode Generator Part >1. Purpose- Mode ... Base), SW1, SW2(모드 설정 switch), SET(각 mode별로 값을 증가시키는 switch)을 받고 출력으로 MODE1, MODE2, INCREASE가 나오는 기본
    리포트 | 34페이지 | 10,000원 | 등록일 2010.06.24
  • COUNTER
    가능확장 connectorJ7, J8 : 48개의 I/O port용 확장 connectorJ9, J10 : 8개의 Clock 용 확장 connectorMode설정 DIP ... SwitchSlave Serial Mode직접 PC에서 JTAG cable을 이용하여 Download하는 방식Master Serial ModeAT17010에 프로그램을 넣은 후 ... ( CLK : in STD_LOGIC;RST : in STD_LOGIC;Q : out STD_LOGIC_VECTOR (7 downto 0));end eightupcounter
    리포트 | 11페이지 | 1,000원 | 등록일 2010.03.26
  • Tunneling Phenomenon
    ) Theoretical Calculation 1.3 : 1 (Hooke’s law = Newton’s second law) C - H stretching mode / D – H s ... magnetization density vector The Spin Valve Effect Unequal density of state distribution at fermi level with
    리포트 | 12페이지 | 1,000원 | 등록일 2013.12.04
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    조이동 버튼 time_s2 = switch2; -- 시간 인크리먼트 버튼 time_s3 = switch3; -- 시간 clear ch_mode = 11 ; end if; end ... process; end sample;모드별 스위치 설정부분begin process(mode) begin if mode = 00 then -- 시간표시 hour_out = time ... _hour; min_out = time_min; sec_out = time_sec; elsif mode = 01 then -- stopwatch 표시 ---mode1 hour_out
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 컴퓨터구조 설계 프로젝트 Handheld PIG Game
    vectors TP1, SUB, TP2TP1, TP2 : controls total score display for player1, player 2SUB : controls s ... 2;output reg [13:0] SUB;output reg [13:0] TP1;output reg [13:0] TP2;//Player mode LEDoutput reg P1
    리포트 | 23페이지 | 1,000원 | 등록일 2014.07.09
  • VHDL을 이용한 디지털 시계 구현
    _logic;signal SW_F_Q1, SW_F_Q2 : std_logic;signal Mode : std_logic_vector(2 downto 0) := "000";function ... , SW_B, SW_D, SW_F : in std_logic;SW_C, SW_E : in std_logic;seg: buffer std_logic_vector(7 downto 0);s ... eg_com : buffer std_logic_vector(0 to 7));end won;architecture arc of won issignal seg3, seg4 : std
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.24
  • 논리설계실험 chap 04 shift register
    , reset, enable, dir : in STD_LOGIC;mode : in std_logic_vector ( 1 downto 0 );pi : in std_logic_vector ... IntroductionFlip-Flop의 동작을 이해한다.- 쿨럭의 변화에 따라 출력이 변함을 확인한다.Flip-Flop을 바탕으로 하여 Mode에 따른 Circular ... 값인데 clock 값에 따라 rising edge를 통해 작동 되어야 하고 그 다음으로는 enable 신호와 mode, direction 순으로 설계를 해야 된다. 만약 순서
    리포트 | 10페이지 | 1,000원 | 등록일 2008.10.26
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    는 그림 2 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리 동전 총 6 비트로 구성되며, 각 품목의 가격은 커피 100원, 우유 ... 로 천이된다. 동전을 추가로 투입 할 수 있으며 다른 품목을 선택 할 수도 있다. 그리고 반환키를 누르게 상태는 return_mode로 천이되어 500원짜리 동전과 100원짜리 동전 ... function dis_seg(cnt : integer range 0 to 15) return std_logic_vector is variable seg_decode : std_logic
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • VHDL를 이용한 FSM 설계 및 키트 사용법
    Source 생성entity bin_gray_cnt isPort ( clk : in STD_LOGIC;rst_n : in STD_LOGIC;mode : in STD_LOGIC;cnt ... : out STD_LOGIC_VECTOR (2 downto 0));end bin_gray_cnt;( VHDL Modual - File name : "bin_gray_cnt ... 은 '0'일 때 출력 값을 clk과 상관없이 ‘000’ 값을 출력하는 pin이다. mode는 그 값이 따라 ‘0’ 일 때 gray count로 출력하고, ‘1’일 때 binary c
    리포트 | 13페이지 | 1,000원 | 등록일 2010.05.27
  • [VHDL]스탑워치 설계
    _logic_vector(7 downto 0));end stop;architecture arc of stop issignal mode : std_logic_vector(2 ... : in std_logic;SW_D : in std_logic;SEG_DATA : out std_logic_vector(7 downto 0);SEG_COM : buffer std ... eg6 : std_logic_vector(7 downto 0);signal seg7,seg8 : std_logic_vector(7 downto 0);signal temp
    리포트 | 10페이지 | 1,500원 | 등록일 2009.06.03
  • DC Magnetron sputtering
    puttering system① electron source의 추가로 triode mode에서 수행- hollow cathode enhanced magnetron이온화를 높이기 위해 ... magnetron source 앞에서 직접 hollow cathode discharge가 이용됨.② RF voltage 사용electron field vector의 진폭과 방향 ... ) hard coating 내부의 Ar - 막의 내부 응력을 증가시킨다기판/막 couple의 불활성기체 충돌- growth mode, stoichiometry, film
    리포트 | 12페이지 | 3,000원 | 등록일 2012.12.24 | 수정일 2013.11.17
  • 단순트러스교 Sap을 이용한 해석결과 도출
    NUMBER OF RESIDUAL-MASS MODES SOUGHT = 0NUMBER OF SUBSPACE VECTORS USED = 22RELATIVE CONVERGENCE ... OF FREEDOM = 22MAXIMUM NUMBER OF EIGEN MODES SOUGHT = 12MINIMUM NUMBER OF EIGEN MODES SOUGHT = 1 ... -INFINITY-ALLOW AUTOMATIC FREQUENCY SHIFTING = NOFound mode 1 of 12: EV= 2.8914134E+06, f= 270.629559
    리포트 | 6페이지 | 1,000원 | 등록일 2010.06.12
  • vhdl을 이용한 카운터 설계
    UNISIM;--use UNISIM.VComponents.all;entity counter isPort ( clk : in STD_LOGIC;rst_n : in STD_LOGIC;mode ... : in STD_LOGIC;cnt : out STD_LOGIC_VECTOR (2 downto 0));end counter;architecture Behavioral of c ... ounter issignal state : std_logic_vector(2 downto 0);signal next_state : std_logic_vector(2 downto 0);begincnt
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • 토가바이러스와 플라비바이러스 (Togaviruses and Flaviviruses)
    FlavivirusesArboviruses - spread by arthropod vector Very broad host range : vertebrate and invertebrate ... , Prevention and ControlNo specific treatment Supportive care Vector control Vaccination : live vaccine ... Symptom: classical fever-arthralgia-rash syndromeEpidemiologyDistribution: widely distributed Vector
    리포트 | 63페이지 | 3,000원 | 등록일 2010.07.26
  • 통계 총 정리 연습 문제 - 풀이 과정 / 해설
    통계1변수를 R에 입력하는 방법벡터라는 객체를 생성한 후, 각 객체의 방에 값을 하나하나씩 입력함.gender = vector(mode= "character" , lenght ... =20 )gender = character ( lenght=20)weight = vector(mode="numeric", lenght=20)weight = numeric (length
    리포트 | 12페이지 | 1,500원 | 등록일 2010.01.19
  • PIC16f877a를 이용한 전자시계
    , ds_sec; // 초 만들기 위한 변수int sec, s_sec;int min, m_min;int hr, h_hr;int mode; // mode select ... alarm(); // 알람 증가 함수////////////////////////////////// RTCC T/C INT VECTOR FUNCTION ... ////////////////////////////////////#int_RTCC // RTCC T/C INT vector FUNCTIONRTCC_isr(){if(--sec_cnt == 0
    리포트 | 16페이지 | 1,000원 | 등록일 2009.05.14
  • VHDL을 이용한 LED, LCD 문자출력
    출력 포트 설정count_dec : out std_logic_vector(6 downto 0);sel_decode : out std_logic_vector(13 downto 0 ... ));end led_control;architecture sample of led_control isfunction sel_dec(temp : std_logic_vector(3 ... downto 0)) return std_logic_vector isvariable decode_temp : std_logic_vector(13 downto 0);begincase
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 12일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감