• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(256)
  • 리포트(217)
  • 논문(30)
  • 시험자료(8)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Vector Mode" 검색결과 141-160 / 256건

  • 감염관리간호
    (mode) of transmission) :⑤ 숙주내의 침입구(portal of entry)⑥ 민감한 숙주(susceptibility of the person(host)@병인물질 또는 ... , 음식물 접시, 수술용 기구, 주사바늘, 드레싱 물품, 물, 음식,우유, 혈액, 혈청중개물(vector)전파 : 감염원을 전파하는 중간 수단으로 기능하는 조류, 진드기, 곤충@숙주내 ... 는 정상 피부 상재균*수술부위의 감염원을 줄이기 위해 수술 전 체모를 제거 [피부의 털은 감염의 원인이 있음]*중개물(vector): 곤충과 같은 운반체*매개체(vehicle
    시험자료 | 6페이지 | 1,500원 | 등록일 2013.06.11
  • [디지털시계]VHDL을 이용한 디지털 시계
    ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s ... eg : out std_logic_vector(6 downto 0);led : out std_logic_vector(7 downto 0));end digital_watch ... _10 : out std_logic_vector(6 downto 0);seg_01 : out std_logic_vector(6 downto 0));end component;c
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • VHLD을 이용한 Digital Door-Lock(디지털 도어락)
    IEEE;use IEEE.STD_LOGIC_1164.all;entity sel_Mode isport(In_key : in STD_LOGIC_VECTOR(9 downto 0);clk ... _VECTOR(9 downto 0);Mode : out STD_LOGIC_VECTOR(1 downto 0);key_sel : out STD_LOGIC_VECTOR(2 downto 0 ... 을 Key, Check, Change, Call, reset, clk 가 된다.a) sel_Mode 블록여기서는 키 입력이 들어 오면 들어오는 키의 입력에 따라서 문을 여는 기능
    리포트 | 1,500원 | 등록일 2008.01.08
  • 중환자간호학 septic shock case
    ryoablation), 세포독성물질(Cytotoxic agent)의 성질을 띤 유전자를 포함하고 있는 retroviral vector를 이용한 유전자치료 등이 있다. 피하로 또는 ... 을min)RR:15 FiO2:0.3PEEP:5above PEEP:15mode:PSTV:4.3(l/min)RR:16 FiO2:0.35PEEP:5above PEEP:15GCSICP--
    리포트 | 19페이지 | 3,000원 | 등록일 2014.02.25
  • Intel 8051과 ARM processor
    (Enhanced DSP 명령) 지원J : Java bytecode 성능 향상을 위한 명령(Jazelle 기술) 지원F : Vector Floating-Point(이하 VFP) 구조 지원 ... , operating mode, operating state에 대한 정보도 함께 가지고 있다. Program status register는 두 종류가 있는데, 그중 하나인 CPSR은 현재 ... 상태 정보를 담고 있으며, 다른 하나인 SPSR은 현재 operating mode로 변경되기 직전의 상태 정보를 담고 있다. 아래 그림은 program status register
    리포트 | 18페이지 | 1,000원 | 등록일 2011.05.27
  • ZnO CNT 소자 제작 LED 리소그래피 실험
    제작제 6 장 AFM6.1 이론적 배경6.1.1 van der Waals forces(반데르발스힘)6.1.2 AFM에 의한 측정 이론6.2 접촉모드(contact mode)와 비 ... 접촉모드(non-contact mode)6.2.1 접촉모드(contact mode)6.2.2 비접촉모드(non-contact mode)제 7 장 UV 램프7.1 UV 램프 제작 의도 ... 은 graphite sheet의 격자 위의 두점을 연결하는 벡터(chiral vector) 표시를 하는 것이다.(n, m은 정수, 튜브가 만들어 질 수 있는 가능한 구조, 단
    리포트 | 32페이지 | 4,000원 | 등록일 2010.04.03
  • 23장 등전위선과 전기장(결과)
    처리 방법들을 택해 보기도 한다.⑧ Drawing Modes 메뉴로부터 Field Vector(전기마당 벡터 모드)를 택한다. 펜 끝을 전기 전도성 종이 위의 한 점에 눌러 화면
    리포트 | 13페이지 | 1,000원 | 등록일 2014.01.13 | 수정일 2014.01.16
  • 자판기(vending machine) VHDL
    _mode);signal current_state: states;signal key_sw: std_logic_vector(5 downto 0);signal key_en, key ... 다. 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원 ... tate는 start상태로 천이된다. 동전을 추가로 투입 할 수 있으며 다른 품목을 선택 할 수도 있다. 그리고 반환키를 누르게 상태는 return_mode로 천이되어 500원짜리 동전
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • [마이크로프로세서] atmega 128을 이용한 설정 가능한 시계, 밝기조절, 온도표시 설계(도트매트릭스 하드웨어설계, 소프트웨어 코드 포함)
    를 얻었다.- TIMER0의 Fast PWM mode를 사용하여 overflow interrupt에서 저장된 데이터를 위의 그림2 flow chart에서처럼 1당 한 row씩 데이터 ... dot matrix의 font가 맨 아래의 한 줄은 출력을 하지 않아 그 한 줄을 활용하여 setting mode에서 연, 월, 일, 요일, 시간, 분 각각의 setting 위치 ... ;unsigned char end_setting;unsigned char setting_mode=0;unsigned char jump_cnt=0;int temp_out
    리포트 | 18페이지 | 5,000원 | 등록일 2011.03.29
  • Ansys 를 이용한 자동차 Brake Pedal 과 Piston rod 의 응력 및 고유 진동수 해석
    다.Contour Plot의 Nodal Solution에서 Displacement Vector Sum을 클릭하여 전체적인 변형량을 알아본다. Pin 부분은 자유도를 구속했기 때문에 가장 적 ... 준다. Analysis Option에서 mode의 수를 4차까지 설정한 후에 End Frequency는 1000Hz로 설정한다.다시 Current Ls를 클릭한 후에 Read
    리포트 | 19페이지 | 1,500원 | 등록일 2013.01.13
  • 연세대 전기전자 응용실험 예비보고서 6.7 80C196KC Micro Controller
    vectors. Its resister file is 232 bites and it has 8 bit I/O ports. Also it supports 4 16-bit software ... .AddressAreaFunction0x1FF∼0X100A high rankdata RAMUse for data store using Index addressing mode or
    리포트 | 9페이지 | 1,000원 | 등록일 2009.02.20
  • pre AirTrack.hwp
    의 절대치이다.속력은 벡터(vector)의 크기이므로 본질적으로 양의 값이다.이 실험에서는 미끄럼판에만큼의 기울기를 줌으로써 일정한 가속도, 즉를 유지하여, 등가속도로 움직이 ... 들을 와 같이 설치한다.MODE switchMEMORYINPUT HOLDTIMER MODETIMEROFFOFFGATETable 2-1 : Counter/Timer's setting s
    리포트 | 3페이지 | 1,000원 | 등록일 2010.08.29
  • [구조동역학] (matlab) 모드중첩법을 이용한 다자유도의 해석
    Mode}','fontsize',10)grid onsubplot(133);plot(C,H,'-O')xlabel('\it{eigen vector}','fontsize',8 ... .04602..FILE:mode.asvclcclear allclose allm=[1 0 0 ; 0 1 0 ; 0 0 1];k=[; -1 3 -2 ; 0 -2 5];k=[1 -1 0 ... -0.5392 0.1019];w=sqrt(value)f=w./(2*pi)subplot(131); plot(A,H,'-Or')xlabel('\it{eigen vector
    리포트 | 9,000원 | 등록일 2008.06.23 | 수정일 2014.11.28
  • 논리설계실험 chap02 가산기설계
    -Mode 입력에 따라 덧셈, 뺄셈의 연산을 결정▶ M=0 이면 덧셈, M=1이면 뺄셈- 입력 : x ("0000"), y ("0000"), ci, m- 출력 : s("0000 ... "), co▶ std_logic_vector (3 downto 0)를 이용하여 쉽게 4자리의 signal 선언 할 수있다.- Testbench를 직접 작성하여 다음과 같
    리포트 | 8페이지 | 1,000원 | 등록일 2008.10.26
  • Three-Degree-of-Freedom System (Eigenvalue problem)
    according to Eq. (3), we obtain the modal vector(☞ MODE 2)(normalize)The third and last eigenvector ... . (3), we obtain the third modal vector(☞ MODE 3)(normalize)※ Compare (Polynomial vs Matlab vs Power ... following polynomial :⇒,,☞ using a calculatorAssuming,,(unit : rad/sec)(2) Mode shape (normalized
    리포트 | 11페이지 | 1,500원 | 등록일 2006.12.30
  • 블록암호모드
    블록 암호 모드4.0 주요 내용블록 암호의 모드(Mode)에 대해 설명하도록 한다 임의의 길이의 평문을 암호화하기 위해서는 평문을 일정한 길이를 갖는 블록으로 나누고 각 블록 ... 하는 방법을 블록 암호의 모드(mode)라고 부른다.블록 암호의 주요 모드ECB 모드 : Electric CodeBook mode(전자 부호표 모드) CBC 모드 : Cipher ... Block Chaining mode(암호 블록 연쇄 모드) CFB 모드 : Cipher-FeedBack mode(암호 피드백 모드) OFB 모드 : Output-FeedBack
    리포트 | 65페이지 | 2,000원 | 등록일 2010.02.18
  • Air Track 실험 예비 레포트
    』을 누른다.MODE switch MEMORY INPUT HOLD TIMER MODESPLIT TIMES OFF OFF GATE(ⅲ) 압축공기의 양은 Valve를 통하여 조절 ... (vector)의 크기이므로 본질적으로 양의 값이다.3. 실험방법(ⅰ) 미끄럼판을 와 같이 경사를 이루도록 한다.(ⅱ) 광감지기(Photogate)는 Counter/Timer 뒷면 ... 에 『A』에 연결한다.Counter/Timer를 아래와 같이 조작하고 『RESET』를 누른다.MODE switch MEMORY INPUT HOLD TIMER MODETIMER OFF
    리포트 | 11페이지 | 1,500원 | 등록일 2008.12.13
  • 등전위선과 전기장
    의 Color Scheme 에서 다른 처리방법들을 택해보기도 한다.⑧ Drawing Modes 메뉴로부터 Field Vector(전기마당 벡터 모드)를 택한다. 펜끝을 전기전도성 종이
    리포트 | 9페이지 | 1,500원 | 등록일 2010.04.06
  • M-file 프로그래밍0k
    Matlab문장들(명령어들)을 수행하는 script 양식(script mode)과 입력 매개변수와 출력 매개변수를 다루는 함수 양식(function mode)이 있다. 이와 같은 M ... 를 이용하여 M-file을 작성한다.2. command window에서 M-file을 부르거나, 또는 다른 M-file내에서 호출 할 수 도 있다.[표2.1]은 script mode ... 의 M-file과 function mode의 M-file에 대한 비교이다.script mode의 M-filefunction mode의 M-file파일 이름은 어떠한 이름으로도 할
    리포트 | 65페이지 | 4,300원 | 등록일 2009.11.01
  • 멀티캐스트와 브로드캐스트의 정의 및 종류
    은 그룹의 주소를 그 지역에 제한되도록 할당할 수 있다.6) 멀티캐스트 라우팅 프로토콜IETF는 DVMRP(Distance Vector Multicast Routing ... 다.① DVMRP(Distance Vector Multicast Routing Protocol)와 터널링DVMRP(Distance Vector Multicast Routing Protocol ... 의 독립적인 프로토콜, 즉 PIM-DM(PIM-Dense Mode)과 PIM-SM(PIM -Spare Mode)으로 구성된다. 이 구별은 어떠한 단일 프로토콜도 모든 상황에서 잘 동다.
    리포트 | 10페이지 | 3,000원 | 등록일 2009.01.09
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 12일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감