• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(256)
  • 리포트(217)
  • 논문(30)
  • 시험자료(8)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Vector Mode" 검색결과 41-60 / 256건

  • 벡터 트리거조건에 의한 Random Decrement 함수의 모우드 해석
    한국전산구조공학회 정범석, 이외득
    논문 | 10페이지 | 4,000원 | 등록일 2023.04.05
  • CAR-T세포 치료제 [생명과학 세특, 의학 탐구보고서]
    -/Lentivirus Vector)를 이용하여 암세포 특이적 키메릭 항원 수용체(CAR)로 디자인된 DNA를 T세포에 주입(CAR transduction)한 뒤, 재조합된 T세포를 증식 ... /bric/trend/bio-report.do?mode=view&articleNo=8691827&title=Chimeric+Antigen+Receptor%28CAR%29%EB%A5
    리포트 | 13페이지 | 3,000원 | 등록일 2025.06.19
  • 내진 강판전단벽시스템의 변형모드에 따른스트립앵글 변화 (Strip Angle Changes in Accordance with the Deformation Mode of Seismic Steel Plate Shear Wall Systems)
    한국강구조학회 이강민, 이예, 진유의, 오근영, 김우석
    논문 | 11페이지 | 무료 | 등록일 2025.05.10 | 수정일 2025.05.18
  • AI에 대해 Emerging Video Processing 영어로 설명
    Nash equilibrium Mode Collapse: generator fails to output diverse samples 24 Section III Video GAN ... numbers representing each word/token in the text (a vector per token) . 56 Source: http:// jalammar
    ppt테마 | 86페이지 | 2,500원 | 등록일 2024.09.22 | 수정일 2025.03.07
  • 기본간호학 의학용어 10장 감염관리
    29vehicle무생물 매개체감염원을 감수성 있는 숙주에게 전달하는 중간 수단이 되는 물질(옷, 의료기구 등)30vector생물 매개체곤충이나 동물 등이 감염원 전달 중간 수단31 ... host침입구미생물이 저장소에서 탈출했던 탈출구와 같은 경로33mode of transmission전파방법직접접촉(사람-사람)과 간접접촉, 공기전파, 비말전파가 있음34
    리포트 | 5페이지 | 1,000원 | 등록일 2021.10.30 | 수정일 2022.10.25
  • 감염관리 간호과정, 기본간호학 과제, 외과적무균법과 내과적무균법, 손씻기 관리
    asepsis내인성감염 endogenous infection다제내성균 multidrug-resistant organism, MDRO독성 virulence매개체 vector면역손상 ... mode of transmission전파경로별주의 transmission-based precautions표준주의 standard precautionsⅡ.감염회로- 감염회로 구성
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.11
  • 주파수응답함수 기반 다자유도 골조 구조물의 동특성치 도출 및 구조모델링 적용 (Derivation of Dynamic Characteristic Values for Multi-degree-of-freedom Frame Structures based on Frequency Response Function(FRF))
    한국구조물진단유지관리공학회 김소연, 김민영, 이승재, 최경규
    논문 | 10페이지 | 무료 | 등록일 2025.07.11 | 수정일 2025.07.19
  • 이차전지부품장비개론 중간 정리본
    에 n Unit Vector) - 벡터 A에서, 크기 A를 가지는 unit 벡터는 UA=A/A - 유닛 벡터의 특징 > 크기가 1 > 차원을 가지지 않는다 > 오리지널 벡터 A ... 순간 M2 미러를 회전시켜 M1과 완벽한 평행이 되도록 만든다면 광공진기가 완성 ▷ Mode-locking - 파동의 보강/상쇄 간섭의 성질을 이용 - 초단파 레이저(펨토초
    시험자료 | 13페이지 | 3,500원 | 등록일 2025.01.01
  • 직류 대체에너지 활용을 위한 Z-원 인버터 제어
    해양환경안전학회 박영산, 배철오, 남택근
    논문 | 4페이지 | 3,000원 | 등록일 2023.04.05
  • 컴퓨터 구조 과제 4 - 소프트웨어학과
    세트를 단순화하기 때문에 제어 유닛도 단순화한다.၀ 단순 Addressing mode : 거의 모든 RISC 명령어는 단순 레지스터 Addressing을 사용한다. 변위 및 PC ... 와 같은 몇 가지 추가 모드가 포함될 수 있다. 다른 복잡한 mode들은 간단한 것 들의 소프트웨어에서 합성될 수 있다. 다시 말해서 이 설계 기능은 명령 세트 및 제어 장치를 단순 ... purpose and contents of the interrupt vector table.၀ Interrupt Vector Table(IVT) : x86에서 인터럽트 처리는 IVT
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.18 | 수정일 2020.05.22
  • 디시설 - 기본적인 디지털 논리회로 설계
    Vector Waveform File에서 Simulator mode가 Timing으로 선택 된 상태로 시뮬레이션이 진행된 결과이다.Simulator mode를 Timing으로 선택 ... 으로 설계했을 때와 비교하 라.- 2번에서 설계한 VHDL 코드를 컴파일하고 Vector Waveform File로 시뮬레이션한 결과- vwf 시뮬레이션 전에 Assignments ... → Settings → Simulator settings → Simulator mode에서 Functional을 선택하면 단순히 회로의 기능만 파형으로 출력되어 보여질 것이
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 판매자 표지 자료 표지
    데이터통신 과목 단어모음
    ) 고급 데이터 링크 제어ABM (asynchronous balanced mode) 비동기 균형모드NRM (normal response mode) 정규 응답 모드PPP (point ... allocation vector 네트워크 할당 벡터FDMA frequency division multiple access 주파수분할다중접근TDMA time division
    시험자료 | 2페이지 | 1,500원 | 등록일 2019.09.10
  • 연세대학교,전력및제어공학실험, 제어실험 1주차
    transpose to the vector a.-Reference of matrix elements: Semicolon(comma in M mode) and colon have different ... CEM mode.- M>>: Commands that is used in M mode.2) Symbols Used in CEMToolThis program uses symbols ... ituation element-wise. i.e [2,3].+[3,4]=[5,7]3) Vector and Matrix-Basic: We can interpret vector using
    리포트 | 16페이지 | 10,000원 | 등록일 2018.12.16
  • 응력이란 무엇인가
    하고 있다.1. 서론42. 본론2.1 응력이란?2.1.1 Definition of Stress52.1.2 Stress Vector62.1.3 Stress Tensor72.2 응력 분석 ... 적으로 큰 시편을 이용한 실험에 기반을 두고 있다는 것이다.2.1.2 Stress Vector응력이란 한 물체로부터 한 방향으로 절단된 미소한 단위면적에 분포된 힘을 말하거나 경계 표면 ... (Outwardly directed unit normal vector)를vecn 또는 n 이라고 하면 점에 대한 응력벡터(Stress Vector) 혹은 Traction Vector는 다음
    리포트 | 24페이지 | 6,000원 | 등록일 2018.11.25 | 수정일 2023.10.30
  • 판매자 표지 자료 표지
    [에리카A+] 마이크로프로세서응용 Lab8 Assembler Language Programming 2
    . mode 의 값을 저장하는 변수 (R26)들을 사용하였다. 메모리에 저장을 시켜놓고 꺼내서 사용하는 식으로 구성하면 더욱 효율적이겠으나, 그렇게 하려면 시간이 너무 오래 걸리 ... 상태 mode = 0) : Enable Timer/Counter1 OC1A Interrupt , mode = 1(mode = 1) : Disable Timer/Counter1 OC1 ... 을 한번 누를 경우 모드가START (mode = 1) 로 변경되어 카운터가 동작하며, 다시 버튼을 누를 경우 모드가 STOP (mode = 2) 로 변경되어 카운터가 정지
    리포트 | 13페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 판매자 표지 자료 표지
    C와 MATLAB을 사용한 B-mode 영상_13
    공학프로그래밍 설계과제C와 MATLAB을 사용한 B-mode 영상A. 세부 목표 및 이론 (Sub-objective and Theory)-세부 목표는 C. 결과분석에서 같이 다루 ... 도록 하겠다.-이론:°FILE* fopen(const char * filename, const char * mode)fopen함수는 파일을 개방하기 위해 호출하는 함수로서 파일 ... #define N_SAMPLES 3154#define N_VECTORS 192#define N_DATA N_SAMPLES*N_VECTORS#define PI 3.1415926535s
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.30
  • 항공계기 - 항공기 전자계기에 대하여 기술
    지시rift)각에 비례하여 상하?좌우로 움직이고 항공기의 중심 위치의 이동 방향을 감각적으로 잡을 수 있게 한 FPV(flight path vector), 마커등(maker ... 에는 APP(approach), VOR, MAP, PLAN의 각 모드가 있다.1)APP 모드(approach mode)APP 모드는 항공기가 비행장에 진입할 때에 사용되는 모드로 그림 ... 10-14에 나타낸 것 같이 expanded mode와 center mode가 있다. 그 조절은 EFIS 컨트롤 판넬의 모드 선택 스위치로 한다. 어떤 지시도 기수 방위가 중앙
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.16
  • 판매자 표지 자료 표지
    VHDL을 이용한 LED 제어 소스코드
    SWA_D : std_logic;signal LED_Mode : std_logic_vector(7 downto 0);type ar_led1 is array(0 to 13) of ... .all;Entity term_project isport(clk : in std_logic; SWA : in std_logic; LED : buffer std_logic_vector ... std_logic_vector(7 downto 0);signal ledarr1 : ar_led1;
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.18
  • CDS(Common Display System)에 대해 설명하시오
    ⑷AFCS Mode 지시부⑸Radio Altitude Display⑹Vertical Speed Displayⅱ. Navigation Display⑴Approach Mode⑵VOR ... , 전파 고도계, 승강계, 방위 지시계, AFCS 작동 Mode 및 Marker Beacon 등을 한곳에 집약하여 지시하는 것으로 조종사는 자기의 비행 상태를 한눈에 알 수 있 ... Path Vector, Marker Beacon을 표시하며 Wind Shear와 만날 경우 음성경보와 함계 탈출을 위한 기수 상승각의 지시가 가능하다.⑵항공항법시설
    리포트 | 6페이지 | 4,000원 | 등록일 2017.04.01 | 수정일 2017.05.20
  • 판매자 표지 자료 표지
    VHDL 디지털 시계
    : integer range 3 downto 0;signal mode_tmp_push4 : std_logic;signal temp_led : std_logic_vector ( 7 ... 1)디지털시계●목적· MODE SWITCH의 동작에 대하여 공부한다.· Debounce 회로의 동작에 대하여 공부한다.· 시계 조정 회로의 동작에 대하여 공부 ... (int_max_limit-1 ) downto 0;seg_tmp_2 : in integer range 5 downto 0 ;seg_10 : out std_logic_vector
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 12일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감