• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 241-260 / 513건

  • Orcad를 이용한 PCB 설계
    ->바이어스 포인트 데이터를 계산하고 output 파일을 출력-입력신호 만들기-a.디지털 논리레벨 입력신호 만들기source 라이브러리에서 stim1이라는 입력 원을 이용eidt ... 고정 논리레벨 입력신호 만들기pwr탭에서 source라이브러리 안에 있는 $D_HI또는 $D_LO선택. high값과 low값이 나온다.c. clock신호원 만들기source ... . VSINVOFF : Offset voltageVAMPL : AmplitudeFREQ : Frequency ( 1meg = 1MHz)TD : delay time 지정하지 않으면 0초PHASE
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 3,000원 | 등록일 2013.05.26 | 수정일 2025.05.26
  • 이동통신 서비스
    Division Multiple Access)음성신호를 아날로그 FM으로 변조시켜 이것을 주파수분할접속방식 즉 30KHz의 채널로 잘게 나누어져 있는 각 채널을 통하여 기지국에 접속하는 방식이 ... -CDMA)중국의 TD-SCDMA미국의 CDMA-200027..PAGE:283세대 이동통신IMT-2000(International Mobile Telecommunications2000 ... 하였다.표준서비스명IMT-DS(Direct-Sequence)W-CDMA, UTRA-FDDIMT-MC(Multi-Carrier)CDMA2000IMT-TD(Time-Division)TD
    Non-Ai HUMAN
    | 리포트 | 52페이지 | 1,500원 | 등록일 2011.05.09
  • Vhdl을 이용한 8x1 MUX 설계
    1=> o );…… ④end Behavioral;mux8.vhd 소스① 8x1 MUX는 8개의 Input이 필요하므로 8bit로 나타내주기 위해 std_logic_vector(7 ... downto 0);으로 표현해 주어야 한다. select 단자 또한 s2, s1, s0의 3bit로 표시되므로 std_logic_vector(2 downto 0);으로 표현 ... 의 input으로 입력되는 것은 총 6개이다. 따라서 6bit 임을 표시해주기 위해 std_logic_vector(5 downto 0);으로 표현하였다.④ port map 명령어
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • 램프핑퐁머쉰 설계
    . Implementationentity pingpong isport( rst_n, clk : in std_logic;digit : out std_logic_vector (6 downto 1 ... );start_sw : in std_logic;R_sw : in std_logic;L_sw : in std_logic;seg_a : out STD_LOGIC;seg_b : out ... lock을 입력clk로 인가한다. 그리고 6개의 세그먼트 DIGIT1~6 중 어떤 세그먼트에 출력할지를 결정하는 출력 DIGIT 신호를 선언한다. 여기서는 2개만 필요하므로 2개
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 2,000원 | 등록일 2009.01.09
  • Traffic Light Controller
    Lights Module을 이용하여실제 실생활에 사용되는 신호등의 컨트롤러를 구현한다.< HBE-COMBO II >▶ 실험 자료의 Traffic Light Controller Code ... 신호등 작동 원리▶ 신호등은 4거리 신호등의 체계를 가지고,실제 생활에 사용되는 신호등 체계를 그대로 구성하였다.▶ 신호등은 도로의 경우 정지(빨강), 주의(노랑), 좌회전(초록 ... ), 직진(초록)의 4가지 신호, 횡단보도의 경우 정지(빨강), 보행(초록)의 2가지 신호로 이루어져 있으며 각 신호등의 구성과 동작은 차량과 사람의 진행 방향을 고려하여 아래
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • [PPT] VHDL 문법
    type) 를 갖고 있음 종류 신호 (signal) 변수 (variable) 상수 (constant)26 객체 (Object)-signal 각 심블에 연결되어 사용하는 외적 변수 ... ( 전역변수 ) 대입 기호 : 실행중 대입 ‘ =‘, 초기값 정의 ‘:=‘ 선언 방법 • signal sig : std_logic - sig 를 bit 형태인 std_logic ... 으로 선언 • signal count1 : std_logic_vector(3 downto 0); -- 내림차순 - count1 을 4 bit 내림차순으로 선언 • signal c
    Non-Ai HUMAN
    | 리포트 | 86페이지 | 1,000원 | 등록일 2010.06.12
  • vhdl 센서를 이용한 신호등 제어
    FND = 1,0 B도로 신호등 = 황색프로그램 (1/2)library ieee; use ieee.std_logic_1164.all; entity lamp111 is port(c ... 센서를 이용한 신호등 (Signal lamp with sensor)2007년도 1학기 VHDL Project목 차동기 및 목적 동작 설명 블럭도 프로그램 시뮬레이션 회로도 완성 ... 그림 제작 일정동기 및 목적차량의 원활한 소통 실시간 교통량의 변화에 대응 센서의 사용으로 효율 증대동작 설명:Segment :sensor블 럭 도StartA도로 신호등 = 녹색 B
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 4. Labview를 이용한 DC모터 회전수 제어
    Instruments에서 고안된 프로그램으로서 Lab View는 그래픽기반 개발환경으로서 신호, 수집, 측정 분석 그리고 데이터 디스플레이를 위한 기능을 내장하고 있어 기존 개발 도구 ... 의 샘플링 시간(Tc) 이후에 처음 발생되는 엔코더 펄스와 동기되어 δΤ 만큼 지연된 시간 Td(m2를 이용하여 계산)와 엔코더 펄스수(m1)를 이용하여 속도를 측정한다.4. 실험방법 ... 한다.? 모터출력 센싱 회로도에서 출력 신호를 채널에 연결 시 채널의 -신호는 Ground에 연결한다.? 모터의 선은 Ground에 연결하여 접지시키고, 다른 선은 채널에 연결
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 1,000원 | 등록일 2012.10.29
  • 이동통신의 세대별 역사
    으로 제공1세대에서는 음성위주의 이동통신 서비스가 아날로그 방식으로 제공되었다. 아날로그 통신은 목소리를 마이크에 전달하고 마이크는 연속적인 소리를 전기신호로 바꾸어 상대방 ... 에게 소리의 변화 그대로를 전달하는 방식으로, 통신할 때 자신의 신호와 다른 방해신호가 동일한 주파수로 섞이면 혼신이 생기고 주파수를 효율적으로 사용할 수 없다는 단점이 있었다. 이후 ... 세00(IS-95 CDMA 후속), TD-SCDMA(Time Division-Synchronous CDMA), UWC-136(IS-136 후속), DECT 등 다섯 가지 기술
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 3,000원 | 등록일 2012.11.15
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    - enable inputY : out std_logic_vector(7 downto 0)); --output dataend hdl; -- 입력신호와 enable 신호 및 출력 신호 ... isport(i : in std_logic_vector(3 downto 0); -- input dataE : in std_logic_vector(3 downto 1); - ... port를 선언.architecture Behavioral of hdl issignal ex:std_logic_vector(3 downto 0);--excess-3에 해당하는 4bit
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • RS-232 란?
    다는 점을 유의하여야 한다.1) Transmit Data (TD, 2번 핀)이 핀의 신호는 PC에서 모뎀이나 프린터로 송신된다. 직렬 포트는 데이타 가 송신되지 않을 경우 이 회로 ... 으로 프린터용의 센트로닉스 인터페이스가 있다.2.3.2.구성 내용1) 전기신호의 특성 즉, 논리 0와 1의 전압을 정의. 출력시에는 +5V ~ +15V가 논리 0이며 -5V ~ -15V ... 가 논리 1이다.2) 인터페이스의 기계적인 특성으로 커넥터의 크기등을 정의.3) 전송회로에 있어 사용되는 전기신호의 기능을 정의하고 이름을 붙인다.4) 특별한 통신시스템의 구성
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2011.11.07
  • DSP란, zigbee, CDMA와 WCDMA의 차이점과 공통점
    1. DSP란?디지털 연산에 의해 신호 처리를 하는 하나의 집적 회로(IC) 칩으로 된 마이크로프로세서. 범용 마이크로프로세서의 구조(architecture)를 대폭 개량 ... 하여 고속 연산성과 콤팩트화를 추구한 전용 마이크로프로세서이다. 기본 조작은 필터링, 프리어 변환, 상관 함수의 산출, 부호화, 변복조, 미분, 적분, 적응 신호 처리 등이다. 음성 합성 ... 게 함으로써 단말기의 소비전력과 크기를 줄일 수 있다.4. TD-SCDMA란?TD-SCDMA(Time-Division SynchronousCDMA)는 중국 정부가 독자적으로 추진
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2009.06.18
  • MU0 CPU -VHDL로 설계하기(ALU, ACC, IR, MUX, PC, control unit)
    《 MU0 VHDL 》1. Processor의 이해프로세서는 메모리에서 일정한 데이터 값을 순차적으로 불러와서 그 데이터에 저장된 명령어를 디코딩(해석)하고 통제 신호를 발생 ... 한다.Control Unit: 데이터에서 불러온 opcode에 따라서 각 Register에서 수행해야할 신호를 정해서 출력한다.3. 소스화면? control unitLibrary ... IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity c
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 2,000원 | 등록일 2008.11.25
  • IPTV
    로의 AV 신호 전달을 우한 인터페이스TD-SMSTB와 보안 모듈간의 인터페이스TD-PDSTB와 추가기변 기기IPTV의 기술 – NetWork 관련기술Network 관련 기술 컨텐츠 ... 및 설명BC-TD기존 방송망과의 인터페이스NW-TDSTB와 IPTV 네트워크간의 인터페이스TD-DDSTB와 디스플레이 장치와의 인터페이스TD-HNSTB와 Home Network
    Non-Ai HUMAN
    | 리포트 | 43페이지 | 1,500원 | 등록일 2011.05.09
  • BCD to Excess-3 Code Conveter
    그래프는 같은 그래프이다. 단지 input, output값들의 표현 여부 차이이다.처음 100ns동안 딜레이로 신호가 들어가지 않고 100ns이후로 부터 신호가 들어오고 있 ... 다. 신호가 들어온 후에 딜레이 없이 출력신호가 발생하는 것을 알 수 있다. 출력신호를 살펴보면 입력신호를 정의한 곳에서는 BCD +3 값만큼 나오고 8보다 큰 신호에서는 1100의 신호 ... 가 나오는 것을 볼 수 있다. 신호가 지연되어 나오는 것을 볼 수 있다.지연값은 약5.6ns~5.7ns가 나오는 것을 확인하였다.Data-flow Model로 BCD to
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    시계의 기능 / 작동원리 각 부에서 나온 신호를 7-segment로 표시.LED 부분 Alarm 은 시간과 분으로 설정  Stop Watch 의 시작,정지의 두 가지 상태 ... 카운터로 구성  시 부분은 12진 카운터를 이용.시계 설정 부분 스위치에서 들어오는 제어신호를 각부에 전달스위치 설정 부분역할구 분시계의 기능 / 작동원리L E D 출 력 구 ... ieee; -- library 선언 use ieee.std_logic_1164.all; -- ieee library 선언 use ieee.std_logic_unsigned.all
    Non-Ai HUMAN
    | 리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    와 같다. 하지만, 이후의 출력결과는 다른 값들과 다르게 하기 위하여 나름대로 다른 출력 결과를 만들어 본 것이다.위의 표에서 알 수 있듯이 입력으로는 두 가지 신호가 들어가게 된다 ... . 하나는 입력에 대하여 제대로 된 출력 결과를 보여주도록 하는 3bit Enable 신호이고, 다른 하나는 어떤 출력 결과를 나타낼 것인가 하는 4bit 입력신호이다. 여기 ... 서 enable 신호는 Spartan 보드의 4개 버튼 중에서 3개 버튼을 이용하여 넣어주게 되며, 4bit 입력신호는 4개의 switch로 입력을 넣어주게 된다. 그리고 출력 결과
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 디지털도어락(digital door lock)설계-논리회로설계실험
    : clock 신호이다. 클럭의 상승에지에 동작하도록 설정하였다. std_logic형이다.③ key_num : 실제 도어락에서 버튼의 역할을 하는 input값이다. 편리함을 위해 ... 을 것 같다.Input① rst : reset 신호, rst가 '0'일 때 동작하고 ‘1’이 되면 reset된다. reset 될 때, 비밀번호가 다시 초기값 000000으로 돌아가 ... 도록 할까 하였으나, 실제 도어락 모델에서 reset버튼을 누른다고 해서 비밀번호가 초기화 된다거나 하면 곤란하므로 그냥 유지되도록 하였다. std_logic형 이다.② clk
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 4,000원 | 등록일 2009.10.23 | 수정일 2015.11.04
  • 급성위염 사례연구, acute gastritis, 응급실 케이스, er case
    되어 부종ng, diarrhea, constipation)+/+/+/-/-Abdomen (P/Td/RTd)+/+/-CVAT (costovertebral angle tenderness)-/ ... 되어 퇴원했다.진단에 대한 문헌고찰정의실재적이거나 잠재적인 조직손상으로 인해 야기되는불유쾌한 감각이나 정서가 6개월 이내로 지속되는 상태특성?통증을 호소함(언어 또는 신호) ?통증
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,500원 | 등록일 2015.02.15
  • VHDL를 이용한 LCD 설계
    - Signal 선언architecture Behavioral of LCD_TEST issignal load_100k : std_logic;signal clk_100k : std ... _logic;signal cnt_100k : std_logic_vector(7 downto 0);signal load_50 : std_logic;signal clk_50 : std ... _l_50 : std_logic_vector(11 downto 0);signal lcd_cnt : std_logic_vector(8 downto 0);signal lcd_state
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감