• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 141-160 / 513건

  • 판매자 표지 자료 표지
    VHDL 설계-encoder
    _enable기 능: 학번 신호를 10진수로 디코딩입 력: code: std_logic_vector(3 downto 0), num: integer출 력: result: std ... 라이브러리의 std_logic_1164를 사용하였고, 시뮬레이션하기 위한 코드이므로 entity의 내용은 없다. Architecture에서 입력신호를 정의할 수 있는데, 먼저 설계 ... 1. 개요- 교과서를 참고하여 664 Decoder 혹은 646 Priority Encoder를 설계한다.- 전체 decoder 혹은 encoder의 enable 신호는 본인
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 2,000원 | 등록일 2012.07.12
  • [12주차] Calulator
    출력에 필요한 신호들입니다.architecture Behavioral of LCD_test istype reg is array( 0 to 31 ) of std_logic_vector ... _vector( 7 downto 0);signal lcd_db : std_logic_vector(7 downto 0);--lcd의 출력, 특히 분주기의 작성에 사용이 되는 신호 ... Behavioral of LCD_display iscomponent LCD_testport( FPGA_RSTB: IN std_logic;CLK : IN std_logic;LCD_A
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • VHDL을 이용한 교통신호기제어
    신호등 9. 동쪽 보행자의 신호등5. 동쪽 차량의 신호등3. VHDL CODE 분석 및 결과1) VHDL CODElibrary ieee;use ieee.std_logic_1164 ... .all;entity traffic_hwang isport(clk: in std_logic;sw_flick : in std_logic;-- 신호등의 동작을 점멸상태로 바꾸기 위한 ... 을 나타내는 변수.0(남쪽), 1(서쪽), 2(동쪽), 3(북쪽)signal rotate: std_logic;-- 신호등의 한쪽 방향의 진행이 완료되었음을 나타내는 변수signal
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • 모바일 오피스 네트워크 기술
    (안테나)을 설치해야 한다.3) 3G 이동통신3G는 3 세대 이동통신 기술을 위한 국제전기통신연합(ITU) 규격이다. 2세대 이동통신은 나라별로 각기 다르 신호 주파수를 사용 ... · UTRA-TDD LCR (TD-SCDMA)3GPP2 계열CDMA2000 1xEV-DO (IS-856)3세대 진화형GSM/3GPP 계열HSDPA · HSUPA · HSPA+ · LTE
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 2,000원 | 등록일 2018.09.09
  • PID 제어기를 이용한 Servovalve의 제어
    라는 용어는 신호가 블록 선도로 들어갈 수 있는 폐경로나 루프가 없다y_cl = 10 (u-0.5w)= 10 left(r over 10 - 0.5w right)= r - 5w만약 ... 도 오차를 고려한 제어를 위해서는 비례-적분-미분(PID)제어를 얻기 위해 비례 피드백 제어, 비례-적분(PI) 피드백 제어, 미분 피드백 제어 방법을 조합할수 있다. 제어신호는 오차 ... s} + T_D s right)특정한 제어루트를 설계하기 위해서는 기술자는 만족할 만한 성능을 얻을 수 있는 상수 K, TI 그리고 TD 만을 조절하면 된다. 이 조절 과정
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2013.10.20
  • [토끼] VHDL로 구현한 4 state 구현, Altera 보드로 LED2 상태로 시뮬레이션 및 확인
    ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity state_machine isport(CLK: in std ... _logic;RST: in std_logic;X: in std_logic;Y : in std_logic;LED_1: out std_logic; -- S0 LED ONLED_2: out s ... td_logic; -- S1 LED ONLED_3 : out std_logic; -- S2 LED ONLED_4 : out std_logic -- S3 LED ON);end s
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 3,000원 | 등록일 2013.01.17 | 수정일 2020.07.13
  • 결과보고서 #5
    . 고찰(1) A4_김영관 : Misson 1에서 우리가 구현해야하는 ALU는 기능선택 선으로 들어온 신호를 판단해 미리 입력된 알고리즘으로 두 개 bus의 데이터 입력을 연산 ... 한 mission이였다. 다만 코드를 짤 때 알 수 없는 에러가 자꾸 나와서 당황하였는데 그것은 라이브러리에서 std_logic_signed를 선언해주지 않았었기 때문이었다. 그 다음
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    문 ) (1-1) IF 문을 이용한 4×1 MUX의 VHDL CODElibrary IEEE;use IEEE.std_logic_1164.all;entity mux41 is ... port( A: in std_logic; B: in std_logic; C: in std_logic; D: in std_logic ... ; SEL: in std_logic_vector(1 downto 0); Y: out std_logic );end mux41
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • SOC설계및실습 Mux~ALU Report
    .22~53 : 입력내부신호인 TA~TD, Tsel(0~1)을 처리하는 코드입니다. 각 신호에게 다른값을주어 겹치지 않게 해줍니다.55~62 : Component의 포트와 내부신호 ... : 라이브러리는 같은방식으로 선언합니다.6 : 입출력포트는 지정하지 않습니다.8~14 : 대신 Component를 선언해주고 동작을 기술해줍니다.16~18 : 동작을 기술할 내부신호입니다 ... : Component로 포트를 지정해준다.16~17 : 내부 신호를 선언한다 Tdata는 1개의 핀이므로 2비트를 선언해주도록한다.20~30 : 입력신호를 설정한다33~39 : port 맵
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2014.06.20
  • VHDL 디지털시계 발표 자료
    조 직 도 기 본 사 양 설 계 사 양 진행과정계획 성 취 도 주차 완성 소스목 차Component Repair7진SegmentCountClock 신호 입력(1kHz) 시,분,초 ... ample of a00 is component count port( clk, res : in std_logic; jb1,jb2,jb3,jb4,jb5,jb6 : in std ... _logic : out std_logic_vector(3 downto 0)); end component; component a01 port(dec_a, dec_b, dec_c, dec_d
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • VHDL을 이용한 논리 게이트 실습
    처럼 X, Y, F가 뜨게 되는데 파형을 일정부분 드래그하여서 위에 작은 빨간네 모부분을 누르면 신호를 High로 만들 수가 있다. 그림과 같이 파형을 그려주고 File을 눌러 ... 한다.library ieee;use ieee.std_logic_1644.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;이 ... 부분은 C언어에서 #include 를 선언 하듯이 기본논리게이트의 설계를 위 해 선언하는 부분이다.entity And_gate_vhdl isport(A : in std_logic
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 병태생리학정리(눈/피부/하부요로와 남성생식계/두경부/여성생식관/유방/백혈구,림프절,지라,가슴샘/적혈구와 출혈질환)
    tD를 합성1) 편평상피세포(각질형성세포)- 데스모좀에 의해 치밀하게 부착- 케라틴단백질생산- 사이토카인과 디펜신분비 → 피부면역반응2) 멜라닌색소- 햇빛 속의 잠재적으로 유해 ... 에 결합→ androgen의존성 유전자 전사3. 암종- 가장 흔한 후천성의 유전적인 전립샘 암종의 병변은TPRSS2-ETS융합유전자와 PI3K/AKT신호전달경로를 활성화시키는 돌연변이
    Non-Ai HUMAN
    | 시험자료 | 15페이지 | 4,000원 | 등록일 2020.11.06
  • VHDL을 활용한 4비트 Ripple Carry Adder(덧셈기) 프로젝트
    _logic_vector(4 downto 0); -- 1bit 간의 연결 신호signal y_complement : std_logic_vector(3 downto 0); -- 보수 신호beginC(0) ... engineering ---- 20060937 Park Jung Hwan --library ieee;use ieee.std_logic_1164.all;entity abcd is --엔티티 선언 ... port(subtract : in std_logic; --(-) 연산이 가능하도록 설정해주는 입력값x,y : in std_logic_vector (3 downto 0); --4bit
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2012.03.20
  • SFP(Small Form Factor Pluggable Transceivers) 광모듈 완벽정리
    는데, SFP접속기를 사용하면 납땜 없이 접속이 가능하다.일반적인 표준 및 규격에 의하여, 플러스 인터페이스를 제공하고, 광 또는 전기적 신호로 변환하는 유닛이라고 할 수 있다.2 ... Differential Rx Output(+)-TD- : CML Differential Tx Output(-)-TD+ : CML Differential Tx Output(+)-VeeT
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,500원 | 등록일 2013.06.25
  • OCT(Optical Coherence Tomography)
    경로를 움직인 후 다시 합쳐져서 간섭 두 경로의 거리가 파장의 정수배 (0을 포함)만큼 차이난다면 보강간섭이 일어나게 되어 강한 신호가 검출되고, 파장의 반정수배(1/2, 3/2 ... , 5/2 등)만큼 차이난다면 상쇄간섭이 일어나게 되어 약한 신호가 검출마이켈슨 간섭계 (Michelson Interferometer) 입사된 beam 은 반도은 유리판 (half ... 의 종류 TD-OCT FD-OCT OCT 시술방법 OCT imageOCT 기본 구성도OCT 기본 구성도 OCT 광원 종류 ; fs-Ti;sapphire laser, SLD( s
    Non-Ai HUMAN
    | 리포트 | 30페이지 | 1,000원 | 등록일 2013.06.16
  • VHDL로 inverter 구현시 transport delay 와 inertial delay 의 차이점
    delay: 1.5ns, 2) inertial delay: 1.5ns 의 delay를 갖게 되는 경우 input 신호 1ns 일 때, output 신호는 어떻게 되는 알아보자. 2 ... . 설계내용 Quarters 2를 이용하여 inverter를 VHDL코드로 구성하는데 이때 transport dalay와 inrertial delay를 고려하여 각각 입력신호가 1 ... ns일때의 출력 신호가 어떻게 다른지 알아보는 설계였다. 우선 인버터를 구성하기 위한 VHDL코드가 필요하고 각각의 delay를 구별해주는 단어가 필요하다. wave폼으로 변환
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2013.02.11
  • 조현병 간호진단 케이스 A+
    수 있음을 보고했다. 사이토킨이라는 것은 염증과 면역반응을 중개하는 역할을 하는데 스트레스에 대해 항상성을 유지하고 신경화학적 변화를 일으키기 위해 뇌의 신호를 전달하는데 조현병 ... 정신병 약물 : 정신병적 증상인 위축, 공격성, 심한 불안, 환각, 망상을 조절- 부작용은 TD를 포함한 추체외로 증상, 자율신경계 영향, 과민성반응, 진정작용 등- 재발원인은 약물
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 2,500원 | 등록일 2019.03.05 | 수정일 2019.03.11
  • 케이엠더블유(032500)기업분석 및 산업분석
    에 반해, 전선을 통하지 않고 전파를 통하여 신호·부호·영상·음성 등의 정보를 교신하는 것을 무선통신이라고 하고, 그에 사용되는 장비를 무선통신장비라 합니다. 앞으로 다를 부분은 무선 ... 신호로 처리하는 장치인 베이스밴드와 주파수를 필터링하고 증폭하여 안테나로 전송하는 RF부분으로 나뉘어 지는데, 케이엠더블유는 RF 부분과 안테나를 개발, 생산합니다.안테나를 제외 ... 한 RF부분을 RRH라고 하는데,. 이는 소형기지국으로 주파수를 송수신하는 장비입니다. RRH 안의 엠프는 출력신호를 증폭시키고 필터는 증폭된 신호를 필터링해서 안테나로 송신
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,500원 | 등록일 2013.10.05
  • 05 논리회로설계실험 예비보고서(조합회로)
    를 선택하여 출력선에 연결시키는 회로이다. 여러 개의 회로가 단일 회선을 공동으로 이용하여 신호를 선택적으로 전송하는 데 쓰인다. 멀티플렉서는 데이터 입력과 선택입력을 가지고 있 ... (3) 디멀티플렉서 (DMUX)멀티플렉서와 반대 기능을 수행한다. 데이터 분배기라고도 하는데, 하나의 입력회선을 여러 개의 출력회선에 연결하여, 선택 신호에서 지정하는 하나를 출력 ... ;use ieee.std_logic_unsigned.all;entity B1_JSH_PTJ isport ( A : in STD_LOGIC_VECTOR (3 downto 0);B
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 뇌경색 케이스스터디, 간호진단, 문헌고철포함
    후 급성기에 해부학적 병변을 확인하는데 유용하다.(2) 관류강조(perfusion-weighted) MRI조영제에 의한 자기공명 신호강도의 소실이 단위 조직내 조영제의 농도 ... 으로 tissue plasminogen activators(t-PA)를 사용하는 것만 미국 식약청(FDA)에서 승인된 상태이고, 임상적으로 3시간이 경과하여Td도 큰 동맥 페색이 있는 경우
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2018.08.11
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감