• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 281-300 / 513건

  • 무인항공기 비행제어시스템1
    신호 생성 Module에서 Digital 신호를 변환 된다. 그때 변환된 제어 신호가 통신 Modem을 통하여 무인항공기로 전송된다. 무인항공기에서는 이들을 수신 ... 하여 Switching Module을 통하여 하나의 신호를 선택하여 비행 제어 컴퓨터와 PWM Generator로 전송하게 되고, PWM Generator에서는 수동 상태에서는 수동제어 신호 ... 를, 자동 상태에서는 비행 제어 컴퓨터의 자동 제어 신호를 PWM 파형으로 변환하여 무인항공기의 각 조종면을 제어하는 Servo Motor로 인가하게 된다.③.시스템의 시간응답 특성(1
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,000원 | 등록일 2011.05.08
  • [VHDL] stopwatch 스톱워치 설계 / LPM Counter
    signal cnt : integer range 0 to 49; -- 내부 신호 설정signal clk1 : std_logic;beginprocess (clk_100hz) -- clk ... designLIBRARY ieee;USE ieee.std_logic_1164.ALL; -- ieee 라이브러리 사용ENTITY clock isPORT ( -- 입출력 선언clk ... 로부터 1hz 변환하는 단계cnt stop_start, -- 클럭 인에이블cnt_en => enable, -- 인에블 신호aclr => clear, -- 비동기 클리어updown
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,000원 | 등록일 2009.02.08
  • 영유아기
    하기 전에 먼저 소리의 산출을 보여 주는 시기(울음, 소리내기, 옹알이 등)울음 생후 1개월 이후 자신의 배고픔, 아픔, 불편함 등의 욕구를 남에게 알리고자 하는 신호의 역할소리 ... 12-36개월일본뇌염4-6세DTP, 소아마비, MMR10-12세Td12세일본뇌염(1) 기본 예방접종①B형 간염 모체가 HBsAg 양성 초회 접종으로 12시간 이내에 HBIG와 함께 ... )③DTP(개량 디프테리아, 파상풍, 백일해 혼합백신) 총5회 접종(2,4,6개월 기본접종/18개월,4-6세 추가접종) 그 후 10년마다 Td(성인형 파상풍, 디프테리아) 추가 접종
    Non-Ai HUMAN
    | 리포트 | 91페이지 | 2,000원 | 등록일 2013.03.15
  • 반가산기 및 전가산기 결과 보고서
    ;entity HA is -- 엔티티 선언port(x,y:in std_logic; -- 입력신호c,s:out std_logic); -- 출력신호end HA; -- 엔티티 종료 ... 00001111001100110101010101101001000101111.4 구동방식1.4.1 반가산기library ieee;use ieee.std_logic_1164.all
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2009.05.03
  • 판매자 표지 자료 표지
    xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    -SEGMENT를 표시하기 위한 8BIT 데이터 출력1) 예를 들어 숫자 0을 표시하기 위해서는 A~F까지의 세그먼트에 신호 1을 주어서 표시하여 10진 수 0을 표시할 수 있게 한다 ... 11) 설명발진기에서 들어온 50MHz의 신호를 50MHz로 분주하여 1초로 넣어준다. 각각의 시간 / 분 / 초는 1Hz의 신호를 가지고 초의 10의 자리가 6이 되고 분의 10 ... td_logic;seg_a, seg_b, seg_c, seg_d, seg_e, seg_f, seg_g, seg_dp : out std_logic;digit : out std
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • 디지털시스템설계_Baseline구조의이해&RegisterFile설계
    는 실제 값data_in : IN std_logic_vector( 15 downto 0 );// WR 신호가 들어왔을때 Addr_b 주소에 쓸 DataWR,clk,reset : IN s ... 주소에 들어있는 값은 각각 Src 와 Dest 이다. WR 신호가 주어지게 될 때 Addr_B의 주소에 Data_in 을 저장하게 되고, Rst가 주어질 경우에는 모든 내부 플립플롭 ... .std_logic_1164.all;entity register_file isport (addr_a,addr_b : IN std_logic_vector( 3 downto 0
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,500원 | 등록일 2008.02.19
  • 메틀랩 실뮬링크 ASK FSK BPSK QPSK QAM
    Keying)가 만들어졌다.CPFSK는 신호 발생기를 2개를 사용하는것이 아니라 1개를 사용하여 신호의 연속성을 유지한다.일반식은 S(t)=Acos(2πft + hdπt/Td)이 ... 다.h??: 변위비, 상수Td?: 펄스의 반복 주기d??: 입력데이터의 부호.????? 1개의 신호 발생기에선 이 데이타에?의해 주파수를 결정하고 변조시켜????? 연속적인 위상 ... 1. 실험목표메틀랩실뮬링크를 통해서 통신공학을 통해 배워왔던 변조방식을 실제로 구현함으로서 그 원리를 알 수 있다.2. 실험이론· ASKASK의 일반식은 디지털 신호가 1일 땐
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,500원 | 등록일 2008.12.03
  • 비교기와 PWM발생기 예비보고서
    이론○ 비교기 : 기준 신호 전압과 두 신호 전압의 크기를 비교하여 입력신호가 기존 신호에 대해 크거나 적으냐만 결정해 주는 회로.'그림a'에서 입력신호()가 기준전압 ... ()보다 조금이라도 크면,, 작으면 -의 출력을 내보낸다.+,,이므로○ PWM(Pulse Width Modulation) : Pulse 신호의 폭의 변조 파형. Pulse 신호의 폭을 전송 ... 하고자 하는 신호에 따라 변화 시키는 변조 방식. PWM 변조는 진폭 제한기의 사용으로 레벨변동을 제거할 수 있고 또 펄스의 상승과 하강을 급격하게 하여 S/N비의 개선이 가능
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2008.08.31
  • [예비]DCPowerSupply 설계
    가 5kHz인 입력신호를 사용하고 RL=5kΩ, Rf=0.7kΩ 이라고 할 때, Vp=4.4V ,Vr?0.9V 가 되도록 입력신호(vI)의 크기와 C 값을 설계하여라.(식6.2 ... ) Vp = RL?Vsm/(Rf+RL) 을 계산하면4.4 = 5k?Vsm/(0.7kΩ+5kΩ)Vsm = 4.4X(5.7/5) = 5.016V(식6.3) Vr = T?Vp/TD = Vp
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2009.04.04
  • 액위 제어 실험(Level Control Experiment)
    , TD, TI값을 변화시겨 제어가 얼마나 잘되는지 각각의 차이와 각각의 영향에 대하여 알아본다.서론실험목적PID제어를 액위 제어에 응용하여 각각의 파라미터가 제어성능에 미치 ... 면 현제실험기기. 전원 트랜스. 각종신호를 컴퓨터로 전송 하는 역할과 전원을 공급하는 역할을 하는 장치이다.실험 방법①수조에 물을 펌프의 상단 까지 채우고 전원트랜스의 스위치를 켠다 ... 분반 5조 1번째 실험 A-5-1④화면에서 각번호를 실행하여 이하의 값들을 변화 시킨다.sp, kp, TD, TI등을 변화 시킨다.최조의 값은 이미 입력되어있으며 그값은 아래와 같
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 3,500원 | 등록일 2010.04.22
  • [MATLAB] OCTAVE FILTER & 인코딩과 디코딩
    목표3Ⅱ. 기본 이론3Ⅲ. Encoder 설계4Ⅳ. Decoder 설계5~6Ⅴ. Encoding 후와 Decoding 후의 신호 비교7Ⅵ. 결과 화면7Ⅶ. 설계에 쓰인 악보8Ⅷ ... 이름을 decodingⅡ. 기본 이론【목차】① Encoding영상이나 음성과 같은 아날로그 신호를 디지털신호로 바꿔 주는 역할 (압축)② DecodingEncoding 작업에 의해 ... 전환된 디지털 신호를 영상이나 음성으로 바꿔주는 역할 (압축해제)③ DTMF (Dual Tone Multi-Frequency), Touch-tone보통의 푸쉬버튼 전화기에서 숫자
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 2,000원 | 등록일 2009.04.28
  • 논리회로 설계실험 가산기
    기를 구현할 수 있을 것이다.4비트 감/가산기를 구현할 때는 가장 먼저 입력 신호 x, y와 출력 신호 s를 std_logic_vector(3 downto 0)로 선언해야 한다 ... problem.?1비트 신호에 대한 전가산기를 구현?1비트 전가산기를 component(FA) 로 이용하여 4비트 신호에 대한 감가산기 구현⇒각 비트와 FA가 하나씩 대응?이 때 각 FA ... problem.반가산기 같은 경우는 입력 신호로 피 연산 신호만 필요하다. 예를 들어 x, y를 더하고자 한다면 carry-in 필요 없이 x, y만 있으면 된다. 그러나 전가산
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2009.07.10
  • 통신 시스템 매트랩 프로젝트 DSB-SC 변조,복조 시스템
    )))^2)/length(s(1:length(t))); % 변조된 신호의 전력을 구함n_power=s_power/linear_snr; % 잡음 전력 계산n_std=sqrt(n ... 하여 구현해보는 것이다.주어진 메시지 신호에 반송파를 곱해서 DSB-SC 변조파를 얻을 수 있고, 여기에 주어진 잡음성분이 더해지게 된다. 수신측에서 다시 반송파를 곱한 후 ... 에 Lowpass Filter를 사용하여 복조 과정이 끝나게 된다.2.본론매트랩을 통해서 주어진 t0, 반송파주파수 fc, 표본화간격 ts, 신호 대 잡음비, 표본화 주파수 등을 입력
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2008.11.28
  • 차륜 레일 비파괴 검사 기술
    검사에 효과적으로 적용되고 있다. 와전류 검사방법의 경우 엄격한 요구조건을 만족시키고 있어 최고 100Km/h까지 적용가능하고 탐촉자 기술, 전기적 기계적 간섭 방지기술, 신호처리 ... 로 적신후 두 번째 회전에서 초음파 탐촉자가 측정을 하게 된다. 모든 데이터는 데이터 수집용 컴퓨터에 모아져서 신호처리와 그래픽 처리를 통하여 사용자가 쉽게 크랙의 위치를 판단할 수 ... 있도록 하였다. 초기에는 신호처리시 S/N비가 20DB이상인 경우 크랙을 식별 할 수 있는 시간-변위 (Time-Displacement) 그래픽을 이용하여 크랙을 식별하였으나 현장
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,500원 | 등록일 2011.08.01
  • VHDL 코드를 이용한 M bit 가산기와 비교기
    는 표를 참고로 functional behaviors를 찾아내면 되는데, 이는 교안에 나와있듯이 선택신호 할당문이라는 구문으로 이해하면 조금 이해하기 쉬운데, 코딩을 하다보면, 좀더 ... _fulladder 라는 이름을 가진 entity 선언부의 시작port( j, k : in std_logic_vector( 3 downto 0); -- j,k : inputsum : out std ... _logic_vector( 3 downto 0); --sum : ouputcarry : out std_logic);end fourbits_fulladder;architecture
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 2,000원 | 등록일 2008.09.23
  • 판매자 표지 자료 표지
    [Wireless Control Micromouse] 무선 조정 마이크로마우스 with VHDL
    트레이서를 제작하여 보았었는데 그때 당시 Project를 수행하면서 많은 부분 아쉬웠었습니다. 예를 들어 정교한 신호제어를 하지 못해 다양한 상황에 대처하지 못한다는 것 그리고 ... 하여 보다 정교한 신호제어를 언어로는 VHDL을 그리고 칩셋은 Altera칩을 이용한 마이크로 마우스를 만들어 보기로 하였습니다. 게다가 현재 가장 많이 사용되고 있는 통신 기술 중 ... ① 리모컨부: 리모컨부는 마이크로 마우스의 Control을 담당하는 부분으로서 입력신호의 생성부를 맡게 됩니다. 저희 시스템의 구성으로 입력신호는 clk, up, down, left
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 1,000원 | 등록일 2007.12.01 | 수정일 2015.07.26
  • 엘레베이터 제어기 vhdl로 구현 단일 엘리베이터
    .엘리베이터 편의성을 높이기 위한 방안.1.각층 이동시 중간에 정지신호가 없다면 그층을 지날때는 고속으로 이동한다.이는 현재 쓰이는 엘리베이터와 비슷한 방식이다.2.엘리베이터 이용 고객 ... 도 먼가 부족하고 조금만 더하면 더좋은 것 만들수도 있을꺼 같은데 란 아쉬움이 남는다.6.추가 해야할것들.1.지능형 엘리베이터 군제어기를 구현1-1.자동출발 신호방식1-2.수송형식 자동 ... 고소자가 칩에서 차지하는 공간의 효율성이떨어진다. 이부분을 고치고 싶다.3. 컴포넌트 및 패키지 활용library ieee;use ieee.std_logic_1164.all;use
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,500원 | 등록일 2008.02.26
  • 논리회로 설계실험 mux
    omponent로 이용하는 entity를 생성한다. 그리고 나서는 각 2x1 MUX의 입력과 출력을 잘 고려하여 component와 그곳에 들어가는 입출력 신호를 정해주면 된다.3 ... (std_logic_vector(1 downto 0))와 s(STD_LOGIC)이며, 출력은 o(STD_LOGIC)이다. i 는 입력데이터로서 2개의 값을 가지며 s는 선택선, o ... 는 출력 데이터를 나타낸다. 2x1 MUX를 만드는 데 있어서 가장 중요한 구문은 바로 아래의 구문이다.o '0');--Outputssignal o : std_logic;BEGIN
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 2015 간호국가고시 아동정리
    , 일본뇌염4차11-12세Td/Tdap 5차, 일본뇌염5차(04.12.14)-출생 후 1분과 5분에 5가지 소견 관찰하여 점수(2번 측정)-0~2점: 즉각적 소생술필요 3~6점 ... 하여 먹음★★★(09.13)-신뢰감/구강기-부모 ? 영아 애착-낯가림? 건강한 애착의 신호, 대상영속성 생김? 6-7개월에 시작되어 9-10개월에 심함? 낯선 사람을 충분히 관찰할 수
    Non-Ai HUMAN
    | 시험자료 | 6페이지 | 2,500원 | 등록일 2016.03.18
  • VHLD을 이용한 Digital Door-Lock(디지털 도어락)
    적인 블럭에서 입력한 방 호수에 해당하는 비밀 번호를 Check블럭에서 입력으로 받게 되고 그 비밀 번호와 입력한 비밀 번호가 일치 했을 시에는 Door_open이라는 신호를 출력 ... 하게 된다. 그리고 이 비밀 번호가 3회 틀렸을 시에는 경고음인 beep신호가 출력된다. 그리고 Elevator와 연계되어서 비밀번호가 일치하게 되면 Ele_sig1이 ... 라는 Elevator를 1층으로 호출하는 신호를 출력하게 된다. 그리고 Elevator로 그 입력 된 방 호수를 출력 해줌으로서 Elevator가 그 호수에 해당하는 층으로 이동하게 한다.c
    Non-Ai HUMAN
    | 리포트 | 1,500원 | 등록일 2008.01.08
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감