• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 201-220 / 513건

  • VHDL 을 이용한 디지털 시계 설계
    );signal S_Cnt : std_logic_vector (18 downto 0);-- Segment를 나타내기 위하여 임시로 신호를 저장하기 위한 선언signal S_SECC_L ... 어서 세부 사항을 조절하는 SUB_MODE, 그리고 세부 사항을 조절하는 과정에서 값을 변화시키는 SET, 그리고 신호를 주기 위한 CLK, 마지막으로 시계가 동작 할 수 있 ... 위해서는 SUB_MODE키와 SET키를 이용하여 SUB_MODE를 2Bit 신호인 01, 10, 11, 00 으로 표현하여 각 상태에 따라 분과 시를 증가시키고, 증가된 시간
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • 판매자 표지 자료 표지
    VHDL 8비트 CPU설계
    명령어의 내용을 임시 기억하는 명령 레지스터(instruction register), 명령 레지스터에 수록된 명령을 해독하여 수행될 장치에 제어신호를 보내는 명령해독기 ... (instruction decoder)로 이루어져 있다.컴퓨터의 기본적인 차이는 중앙처리장치인 마이크로프로세서의 처리 능력에 따라 구분된다. CPU의 내부 또는 외부와 데이터나 제어신호 등 ... ) 디코더 부분의 블록도2-1) 2 X 4 Decoder의 구조① 회로그림 ) 디코더 기본회로②소스library IEEEuse IEEE.std_logic_1164.all;entity
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 10,000원 | 등록일 2012.12.18 | 수정일 2019.05.30
  • MATLAB m-file을 이용한 AWGN 생성 및 분석
    ); % Generate Signalst_mean=mean(abs(st)); % 입력신호의 평균st_std=std(st); % 입력신호의 표준편차st_variance=(st_std ... td(i).*randn(1,length(t)); % 가우시안 랜덤 노이즈 생성Noise_mean(i)=mean(Noise);k = st+ Noise; % k는 원신호와 Noise ... bound)를 제공한다는 점에서 중요하다고 볼 수 있다.2. 신호 생성 및 분석생성신호 :샘플링수 60개샘플링수 3000개샘플링수 550개샘플링수 10240개※ 샘플링 값을 변경
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2010.06.03
  • SPARTAN-3E 보드 스위치 응용
    알아보고 2 X 1 MUX와 1 X 8 DEMUX의 VHDL code 를 구현한다.MUX(Multiplexer)는 입력되는 신호들 중 선택된 하나의 입력 신호를 출력으로 나타내 ... 는 회로입니다. 2n개의 입력 가운데 하나를 선택하기 위해서 N개의 선택 제어신호(select input)를 통해 1개의 출력 신호(output)를 얻기 위한 회로이다.DeMUX ... (Demultiplexer)는 입력의 논리 값을 여러 개의 출력 라인 중에 선택하여 선택제어신호에 의해 선택된 출력 라인으로 내보내는 회로이다.Design a 2x1 MUXTruth
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2010.04.25
  • 11_1학기_논리회로설계실험 프로젝트 결과보고서
    LibraryENTITYPlayer1 주사위Player2 주사위초기화 버튼Clk 신호월급 계수기 1, 2Player1이 소유한 돈, 호텔Player2가 소유한 돈, 호텔각각의 city : c ... 플레이어의 소유인지 나타내 줄 것이다.b. Part 2 : signal 선언signal start: std_logic_vector(5 downto 0):="000000";sign ... al congo: std_logic_vector(5 downto 0):="000001";signal cairo: std_logic_vector(5 downto 0):="000010
    Non-Ai HUMAN
    | 리포트 | 52페이지 | 3,000원 | 등록일 2011.08.10
  • 태아기-학령전기 정리
    6개월)-청각예민, 인간 목소리에 대한 선호도有(생후 1년)-미각, 후각 잘 발달/입술?혀 제외하고는 발달X(여아 민감)2.언어발달-울음:자신의 욕구를 알리는 신호, 미분화된 울 ... 개월DTP, 소아마비, B형 간염12~15개월MMR, 수두18개월DTP12~36개월일본뇌염4~6세DTP, 소아마비, MMR10~12세Td12세일본뇌염-DTP:디프테리아, 파상풍
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2016.07.19
  • 베릴로그(cpu설계)
    를 입력으로받아 R0~7까지 의 레지스터를 결정하도록 설계하였습니다.또 (TD, TA, TB)를 제어신호로 받아 R8의 값을 결정하도록 설계하였습니다.DR, SA, SB의 신호 ... 로부터 R0~7까지의 레지스터파일을 결정하며 제어신호(TD,TA,TB)를 이용하여 R8를 선택해 연산할 값을 출력하고 결과값을 저장해준다.-FU(function Unit)다양한 연산또는
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 3,000원 | 등록일 2013.04.09
  • A+ 맞은 신생아 황달(Neonatal Jaundice) CASE STUDY - 문헌고찰부터 간호과정까지 기록되어 있습니다
    %에서 관찰되며, 대부분은 간접 빌리루빈이라는 물질이 피부에 침착하여 나타나는 것을 말한다. 이 간접 빌리루빈은 신경에 손상을 미칠 수 있기 때문에 신생아의 경우 황달은 일단 위험 신호 ... mmHg32.0-45.0Po252.9 ?mmHg75.0-100.0Hco3 -act20.8mol/L-Hco3 -std22.1mol/L-BE(B)-2.6mol/L-O2 Saturat88
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,500원 | 등록일 2018.04.10 | 수정일 2020.05.05
  • 대중예술 - 광고
    ?v=TD0RJ_iuBPo ( 영화 – 엑소시스트 ) 서브리미널 광고 서브리미널 효과 = 잠재의식 효과 인간이 의식할 수 있는 수준 이하의 자극들이 인간의 감정이나 행동에 큰 영향 ... ://youtu.be/_VYU4Flqcns 미국 통신사 (AT T) 의 광고 중 안테나신호 모양을 반복적으로 암시한다 . 허위광고 허위광고 광고에서 주장하는 내용이 실제와는 다른 광고 광고표현
    Non-Ai HUMAN
    | 리포트 | 26페이지 | 2,500원 | 등록일 2013.12.10
  • Decoder and Encoder
    Decoder and EncoderIntroductionBCD to 7‐segment decoderBCD 값을 입력받아 7‐segment 출력을 위한 신호를 발생시키는 변환 회로 ... 은 BCD값(0000~1001)에 값에 따라 0~9까지를 LED에 표현하는 것이다.entity decoder isport (A : in std_logic_vector(2 downto ... 0);E : in std_logic_vector(3 downto 1);Q : out std_logic_vector(7 downto 0));end decoder
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,500원 | 등록일 2010.11.02
  • 일반측량학 제10장 GPS측량
    of arrival) 기법을 이용하고 있는데 Omega는 신호의 위상차(phase difference)를 관측하고 Loran은 신호의 시간차(time difference)를 관측 ... Navig on Rb std29 29 Launched 18 DEC 1992; usable 05 JAN 1993; operating on Rb std30 30 Launched 12 SEP ... 1996; usable 01 OCT 1996; operating on Rb std31 31 Launched 30 MAR 1993; usable 13 APR 1993
    Non-Ai HUMAN
    | 리포트 | 53페이지 | 5,000원 | 등록일 2010.05.02
  • 디지털시계
    1) Clock 신호 (100 Hz)2) Reset 신호 (push button 이용)3) Enable 신호 (push button 이용)? mode 신호 추가출력1/100초 단위 ... library ieee;use ieee.std_logic_1164.all;entity st_watch isport (clk : in std_logic;--1kHz 클럭주파수mode : in ... integer range 4 downto 0;--시계의 동작모드가 1일 때 stop_watch 표시sw_f1 : in std_logic;--stop_watch의 시작, 정지버튼sw
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2009.12.27
  • vhdl을 이용한 xor 선택적, 조건적설계
    library IEEE;use IEEE.std_logic_1164.all;entity tb_xor_sel isend tb ... elport(a,b : in std_logic;xor_out : out std_logic);end component;signal a,b : std_logic;signal xor ... _out :std_logic;beginUO :xor_sel port map(a,b,xor_out);process begina
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2010.04.07
  • vhdl을 이용한 tri state설계 소스
    library IEEE;use IEEE.std_logic_1164.all;entity tri_sel is port( s ... el,input :in std_logic; output:out std_logic);end tri_sel;architecture test of tri_sel issignal ... TEMP : std_logic_vector(1 downto 0);beginTEMP
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2010.04.07
  • 자궁경관무력증 간호과정
    #2 저녁부터 LD- SD- TD 순으로 start 했으나 지속적인 nausea 로 인해 식사량 Poor 한 상태입니다. 이에 따라 early ambulation 저하 및 식사량 ... 을 알 수 없는 위험을 예상함으로 인해 야기되는 염려. 이것은 임박한 위험을 경고하는 경계신호이며 위협을 처리하는 방법을 취할 수 있게 함.간호사정주관적 자료“제가 한 수술이
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 4,800원 | 등록일 2016.08.17
  • MATLAB GUI Simulator 구현
    을 제공하여 준다. 본 자료에서는 기본적인 MATLAB GUI 환경을 구성하는 방법에 대하여 알아보고, 디지털신호처리 FFT simulator의 구현 결과를 기술한다.본 기술보고서 ... Simulator는 입력되는 다중신호를 모의하고 다중신호를 도시하는 기능을 모의한다. 또한 다중신호를 FFT 알고리즘을 수행하여 주어진 임계치 이상의 주파수 신호를 탐색하는 기능을 수행 ... 한다.FFT Simulator는 주파수 생성, 진폭설정, 주파수 합성, 화이트노이즈 생성, 임계치설정등의 변수값을 GUI상에서 설정하게 하여 여러상황의 다중신호를 모의할 수 있게 구현
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2012.03.14
  • 아주대학교 통신실험 Unit12 예비보고서
    대역을 비교해라. 어떤 신호가 더 높은 주파수를 사용하였는가?23) 오실로스코프에서 Channel 1과 2를 5 V/DIV로 설정한다. Time base는 5 ms/DIV로 설정 ... 한다. 아래와 같이 Signal Interruptor/Seletor를 설정한다.SELECTORSIGNALTEST POINTSIGNAL DESCRIOPION11TP1TD26TP6 ... . mposite 신호로 결합 된다 모뎀의 duplexer는 다양한 신호가 적절한 회선으로 보내지는 것을 보장한다.CCITT V.23 MODE2와 Bell 202모뎀의 주된 차이
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 2,500원 | 등록일 2013.11.24
  • VHDL코드를 이용한 Seven Segment구현 및 simulation
    고 b와f는 논리값 0을 가지는 것이다. 입력은 0~9까지임으로을 해야 신호를 줄 수 있다. 입력은 4개를 써야하며, 0부터 9까지 10개의 숫자만 필요함으로 그 이후의 숫자는 don ... 't care로 채워 넣었다.?bool대수식 (3주차 레포트 참고)?회로도3-4 소스코드와 설명library ieee; -- 라이브러리 지정use ieee.std_logic ... _1164.all;entity seg_code isport(A0, A1, A2, A3 : in std_logic; -- A0 ~A3 까지 입력 포트a, b, c, d, e, f, g
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • 재난예방교육-태풍
    ), 17∼24m/s 인 것을 열대폭풍 (TS), 그리고 17m/s 미만인 것을 열대저압부 (TD) 로 구분한다 . 우리나라와 일본에서도 태풍을 이와 같이 구분하지만 , 일반 ... . 전신주 , 가로등 , 신호등은 손으로 만지거나 가까이 가지 맙시다 . 천둥 ․ 번개가 칠 경우 건물 안이나 낮은 곳으로 대피합니다 . 송전철탑이 넘어졌을 때는 119 나 시 ․ 군 ... 주민은 대피합시다 . 전신주 , 가로등 , 신호등을 손으로 만지거나 가까이 가지 맙시다 . 집 안팎의 전기수리를 하지 맙시다 . 공사장 근처는 위험하오니 가까이 가지 맙시다
    Non-Ai HUMAN
    | 리포트 | 65페이지 | 3,000원 | 등록일 2015.01.01
  • AT90S8535비동기식 데이터 동작하기,spi 모드 연습하기
    ], x16, 패리티 없음, 스톱 비트 1"로 데이터 통신한다. RS-232C의 핀 구조 및 기능은 다음과 같다.????그림 1. RS-232C 외형도핀 번호???신호 이름1 ... ??CD(Carrier Detect)2??RD(Receive Data)3??TD(Transmit Data)4??DTR(Data Terminal Ready)5??GND(signal
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2012.07.19
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감