• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10)
  • 리포트(9)
  • 시험자료(1)

"부경대 디시설" 검색결과 1-10 / 10건

  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity traffic_sig..
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 부경대 전자공학과 디지털시스템설계 수업과정 코드들
    1. and-vhdllibrary ieee;use ieee.std_logic_1164.all;entity and_vhdl isport ( A, B : in std_logic;Y : out std_logic );end and_vhdl;architecture and_2 o..
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • 파일확장자 부경대 전자공학과 디지털시스템설계 중간2(디멀티플렉서)
    [시험과제 02] 디멀티플렉서(Demultiplexer, Demux) 설계[수행 및 제출(1)][그림 4-14]와 [표 4-12]같이 동작하는 디멀티플렉서를 설계하시오.이때, 순차문인
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 파일확장자 부경대 전자공학과 디지털시스템설계 중간1(인코더)
    [시험과제 01] 우선순위 인코더(Priority Encoder) 설계[수행 및 제출(1)]8x3 우선순위 인코더의 진리표를 작성 및 완성하시오. [수행 및 제출(2)]앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL로 설계하시오. [단, if~end if 구문..
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 파일확장자 부경대 전자공학과 디지털시스템설계 과제(수의 정렬)
    [과제 03] 수의 정렬 회로(Sorting Circuit)에 대한 설계[수행 및 제출(1)]수의 정렬회로를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;package use_package is constant sort..
    리포트 | 10페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말1(패리티 검사기)
    [시험과제 04] 패리티 검사기 설계[수행 및 제출(1)]짝수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고 오류가 발생하면 ‘1’을 출력하는 패리티 검사기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_116..
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 부산의 역사 중간고사 범위 정리
    ·용소:부경대학교 대연Cam 정문 주변 습지(늪지)일대.또는 갯번덕(개+ㅅ+번덕=갯등,포등)이라고도 하였음. ... 9-2-2.대연동내 지명유래(1) ·사분포:사분개라고도 하며, 부경대학교 대연Cam남쪽 바닷가로 4개의 염전 존재. ... 협의 용소:부경대~대천중학교(7,000여평) 9-3-1.용호동의 지명 ·용호동의 옛 지명=분포(분개).염전과 어/농촌 결합이 된 마을.
    시험자료 | 44페이지 | 2,000원 | 등록일 2016.01.12 | 수정일 2017.11.27
  • 한글파일 가족복지정책에 있어서 가족의 노동권보장을 목표로 하는 보육에서 보다나은 미래를 향한 저출산에 따른 대책은 어떠한 것들이 있는가?
    참고문헌 김금숙, 수요자 중심의 영유아보육서비스 확충에 관한 연구, 부경대학교사회복지대학원, 2009. ... 김향숙, 출산장려정책의 여성 주의적 접근, 계명대학교 여성대학원, 2008. 오정균, 우리나라 출산장려 정책의 개선 방안에 관한 연구」, 서울시립대학교 도시과학대학원, 2007. ... 이를 해소하기 위해서는 학교 교육을 통해 결혼준비, 부부 및 부모역할 교육, 출산에 대한 두려움 해소 등과 관련된 내용에 대해서 다양하게 교육을 실시하도록 제도화하고 평생교육 차원에서
    리포트 | 4페이지 | 2,000원 | 등록일 2012.06.28
  • 한글파일 환경호르몬
    (중략) 바다사랑실천운동시민연합 상임의장 최진호 부경대교수는 "한번 퇴적물에 축적된 오염물질은 밀도가 높고 이동성이 작아 자연적 제거가 어렵기 때문에 반영구적으로 존재한다"며 "오염물질이 ... 등 프탈레이트 8종 - 스티렌 이합체, 삼합체 - 벤조피렌 - 2,4-디클로로페놀 등 기타물질 4종 - 디에틸 프탈레이트 등 가소제 9종 - 플라스틱에 존재하는 물질 17종 - 다이옥신 ... 최교수는 "해양수산부와 부산시는 우선 면밀한 조사를 진행하고 부산항에 위치 한 산업체의 오염물질 관리와 각종 오염배출 시설에 대한 철저한 관리감독을 해야한다"고 덧붙였다.
    리포트 | 9페이지 | 1,000원 | 등록일 2007.06.25
  • 파워포인트파일 부산혁신도시 조사
    부산 혁신도시 - 건설구상 혁신지구별 기본구상안 ≫ 공동주거지구 [군수사령부 이전부지] 지 구 여 건 ▪ 경성대, 부경대와 인접 우수한 교육 여건 ▪ 도심에 위치, 풍부한 인프라와 ... 이전계획 수립 용역 완료 ▪ 영화 ∙ 영상관련 공공기관 이전 ▪ 부산영화종합후반작업시설, 부산문화콘텐츠 콤플렉스 건립 ▪ 부산국제영상센터 건립 대구대학교 도시 및 지역계획학과 부산 ... (박물관·한국해양연구원) - 바다 이미지를 활용한 보행동선유도 대구대학교 도시 및 지역계획학과 부산 혁신도시 2.
    리포트 | 30페이지 | 10,000원 | 등록일 2007.11.30 | 수정일 2022.09.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업