• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 81-100 / 513건

  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    ;entity seg isport (clk_4M : in std_logic; -- 클럭, 리셋신호, 세그먼트 지정용 출력신호, 숫자 카운트용 버퍼 신호를 각각 선언rstb : in std ... Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. 실험 내용1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단 ... *************0002110110131111001401100115101101161011111711100108111111191111011('1'-ON,'0'-OFF)3) segment제어를 위한 출력 신호(A~G)가 모두 공통으로 연결
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 아주대 논리회로실험 프로젝트 FPGA를 통한 VHDL 구현 프로젝트 - 비밀번호
    - 초기값에 sw_idle 대입signal init_counter : std_logic_vector(31 downto 0); --init_counter신호선언 clock 분주기 ... signal sw_clk : std_logic; --sw_clk신호선언, 버튼 감지용 clocksignal sw_toggle : std_logic:='0';signal npb : s ... td_logic_vector(16 downto 1):=X"0000"; --npb신호 선언, 스위치의 not값signal pb_1st : std_logic_vector(16
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 3,500원 | 등록일 2016.07.09
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 2장연습문제
    로서 실시간 성능 처리가 향상되었으며, 12비트 ADC와 DAC기능이 있기 때문에 아날로그 신호 처리에 유리, DMA기능이 포함되어서 주변기기 사이에 빠른 데이터 전송 가능.02 ... KB의 EEPROM- 4KB의 SRAM- 부트 코드 영역- 소프트웨어 보호용 프로그래밍 락ㆍJTAG(IEEE std. 1149.1 호환) 인터페이스- JTAG 표준에 따른 ... 클럭 신호를 사용하는 것은 아니기 때문에 사용하지 않는 클럭은 차단하여 소비전력을 감소시킬 수 있다.10. ATmega128의 슬립 모드에 대해 설명하시오.- AVR내에서 사용
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 아주대학교 전자회로실험 실험3 적분회로 예비보고서
    :목적연산 증폭기는 아날로그 신호의 적분과 미분 연산을 위한 회로에 사용 될 수 있는데, 이번 실험을 통해 적분기와 미분기 회로의 동작대 대해 분석하고 이해한다.2. 실험 이론 ... 째 전압 값V2 : 두 번째 전압 값TD : 지연시간TF : falling timeTR : rising 시간PW : pulse의 폭PER : 주기(4) 출력 파형의 전압이 ?인 ... 로 놓아 출력을 측정하여 그 파형을 그린다.(3) 출력 파형의±V _{P-P}를 측정하여 기록한다.(4) 입력 신호의 주기와 출력 신호의 주기를 기록하라.(단위:mu s)V _{0
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2020.05.30 | 수정일 2020.06.08
  • [논리회로설계실험]1bit 비교기 와 4bit 비교기
    input_a : std_logic; -- 테스트에 이용 할 신호들을 선언 signal input_b : std_logic; signal output_eq : s ... 으면 ALB='1',나머지는 '0' 을 출력.library ieee; use ieee.std_logic_1164.all; entity tb_comp_1 ... td_logic ; component comp_1bit -- 이용할 회로 지정 port( a : in std_logic
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 인텔리전트 피깅 시스템 검토
    의 물리적 형상의 이상 유무를 진단한다.2.2. UT: Ultrasonic Testing초음파 신호의 응답 시간의 차이를 가지고 배관의 두께를 측정한다.매질이 필요하여 액체 배관 ... 하다. 그 중에 세 업체만 꼽았다.3.1. TD WilliamsonTD 윌리암슨은 미국 회사로 PIG를 처음 만든 업체다. ‘16년 매출은 U$ 539백만으로 추정한다. 지금까지 80 ... 장비가 없는 게 단점이다.대략의 연혁은 다음이다.. 1920년 TD Williamson, Sr. 이름으로 설립했다. 전기 모터, 발전기 스테이션 공급하는 The Petroleum
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2017.10.26
  • [논리회로설계실험]커피자판기설계
    결과1) D FlipFlop1-1) Sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity fsm isport ( clk : in std ... _logic; -- 다섯 개의 입력과 하나의 출력을 선언, state_out은 회로의 상태변수가 어떤 값을 저장하고 있는지를 보여주기 위해 필요.reset : in std ... _logic;coin_in : in std_logic;coffee_sel : in std_logic;coffee_serve : in std_logic;state_out : out std
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 05-논리회로설계실험-예비보고서
    - MUX : multiplexer- 다중화기, 데이터 선택기- 여러 개의 입력 신호 중 하나를 선택하여 출력에 전달해주는 역할을 하는 회로이다.여기서 X는 “0”또는 “1”어느 경우 ... Behavioral of Mux41_KYK isprocedure Pr_KYK (Input : in std_logic_vector (3 downto 0);Sel : in std_logic ... _vector (1 downto 0);X : out std_logic ) isbeginX := ( not Sel(1) and not Sel(0) and Input(0) )or ( not
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 판매자 표지 자료 표지
    제2장 오실로스코프의 기본 사용법 결과보고서
    제2장 오실로스코프의 기본 사용법- 결과보고서 -실험1 ? 화면에 파형을 나타낸다.1) 오실로스코프를 켠다.2) 오실로스코프에 1kHz TTL신호를 공급한다 ? 오른쪽 하단 ... 계수값측정값tr3.5100ns350ns340ns3) 상승시간을 측정하라.시간측정예시값칸 수수평스케일계수값측정값td1.5100ns150ns104ns4) 지연시간을 측정해 보라.측정값 ... 예시값tr350ns340nstd150ns104nstr = 3.17td475.5ns329.68ns5) 둘 사이의 관계가 다음과 비슷하게 나오는지 확인하라.실험8 ? 하강시간의 측정1
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2020.02.10
  • 전자회로설계 <BJT스위칭>실험보고서
    회로의 ‘Inverter’기능TTL(Transistor_Transistor Logic) 신호는 '0'표시하는 저 전압으로 0~0.8V를 사용하고 ‘1’을 표시하는 고전압으로는 2V ... ~5.25V 정도를 사용한다. 따라서 베이스-이미터 사이의 다이오드 문턱전압(V{} _{Th})을 중심으로 베이스 단자로 입력되는 디지털 신호의 저 전압(0~0.5V)과 고전압(2 ... V이상)을 인가하면 컬렉터의 출력단자에서 입력신호와 반대되는 디지털신호를 얻는 기능을 한다.베이스-이미터 간의 문턱전압(VTh)보다 매우 작거나 혹은 훨씬 큰 두 종류의 전압크기
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,000원 | 등록일 2018.03.14
  • 아동간호학 사례제시에 따른 간호과정보고서
    음.2. (예상) FPS로 통증 사정 시 4점이라고 대답함.3. (예상) 자주 표정을 찡그리고 신음소리를 냄.4. (예상) P/E(신체검진) 시, Td(압통)을 호소함.5. (예상 ... , 환경 등 여러 요인은 활력징후로 인해 변화를 일으키며 정상범위에서 벗어나도록 한다. (신윤희 외, 2017, 108.)? 급성통증 시 통증이 경고신호(warning signal
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2020.04.03 | 수정일 2021.07.21
  • [대충] 예비 VHDL을 이용한 기본 논리 게이트 및 가산기의 구현
    가산기다. 멀티플렉서여러 개의 데이터 입력을 받아서 그 중 하나를 선택적으로 출력하는 논리회로로서 출력하고자 하는 데이터의 입력은 선택입력 신호에 의해서 제어된다. N개의 입력 ... 모델링 기법library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity half_adder isport(A ... :in std_logic;B:in std_logic;S:out std_logic;C:out std_logic);end half_adder;architecture action of half_adder isbeginS
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • 신생아 소아 건강사정 보고서
    검사는 귀에 소리 자극을 주었을 때, 이 자극에 의해 유발되는 전기적 신호인 뇌파를 기록하여 뇌간반응을 보는 검사이다. 이음향방사검사는 귀에 소리자극을 주었을 때 방사되어 나오 ... 는 미세한 음향신호를 분석하여 청력 손상정도를 진단하는 검사이다. 검사 결과는 자동검사기기에서 통과 또는 재검으로 나타난다.만일 재검이 나온다면 정밀한 청력검사를 위해 이비인후과 ... 으로 총 5회접종하며, 11-12세에는 백일해를 제외한 Td로 1회 접종MMR : 홍역, 볼거리, 풍진 혼합백신. 달걀알레르기가 있는 아동에게는 소량투여함.B형 헤모필루스
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2019.03.04 | 수정일 2021.01.04
  • [대충] 예비 VHDL 설명 및 문법
    는지에 상관없이 설계자가 원하는 것을 기 능적 또는 수학적인 알고리즘을 사용하여 시스템의 기능을 기술한 것을 말한다.②자료 흐름적 모델링 기법-자료의 흐름 즉 신호 및 제어의 흐름 ... -하드웨어 블록의 이름과 입출력 포트 선언②아키텍쳐 몸체 선언-하드웨어 내부를 표현한다.③프로세서문④순차문?자료 흐름적 기법의 내부적 동작표현-병행신호할당문 : 프로세서문에서 지정 ... 어인 process, begin, end 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세서문을 수행하는 것과 같은 결과를 가진다.?설계문법-식별어, 예약어, 주석, 개별어-자료
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 스마트폰 및 통신 방법
    도록 코드화한 신호를 대역 확산하여 전송한다. 단말기는 확산된 신호를 디코드하여 복조함으로 사용자가 서비스를 이용할 수 있도록 한다.CDMA는 개인 간의 보안에 강하며 단말기 소비전력 ... 위상 편이 변조)를 사용하여 잡음 환경에 강하다.W-CDMA는 FDD(W-CDMA) 방식과 TDD(TD-CDMA) 방식을 모두 포함하는데 FDD 방식은 DS-CDMA(Direct ... forming)은 데이터 속도를 높이고 대용량 MINO 안테나를 사용하여 대역폭을 향상할 수 있는 타깃 빔 및 고급 신호 처리를 통해 데이터를 전송하므로 많은 데이터가 실제로 필요
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,000원 | 등록일 2018.12.27
  • 성인간호학 응급실케이스, 골반염, PID, 염증과 관련된 급성통증 간호중재 (A+)
    paralytic ileus 소견으로 본원 응급실로 전원.병력수집-신체사정Pain location) rlq pain/td/rtd +/+/+ , rt. flank pain/td/rtd +/ ... 성빈 신장을 통해 배출되기 때문에 신장기능의 좋은 지표0.6-1.2mg/dL0.56▼요붕증, 근위축, 임신으로 인해 사구체 여과율이 증가Uricacid통풍의 신호일 수 있는 혈중
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 3,000원 | 등록일 2019.03.08
  • [보고서+소스코드]아주대 디지털시스템설계 2차 프로젝트 VHDL이용한 엘리베이터 설계
    을 때 2층에서 내려가는 버튼을 눌렀을 때(3) 각자 임의로 한 개● 신호 선언(1) 입출력 선언EMG : IN std_logic ; 긴급버튼RST : IN std ... _logic ; 리셋버튼CLK : IN std_logic ; 시스템클럭f1 : IN std_logic ; 내부1층버튼f2 : IN std_logic ; 내부2층버튼 (2 ... ) State 및 신호 선언type state_type is (INIE,s1,s2,s3,s4,s5,STOP1,STOP2,STOP3,STOP4,STOP5,HAZ); s1 : 엘리베이터가 1층
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 5,000원 | 등록일 2014.10.05 | 수정일 2018.12.02
  • 논리회로실험 2014 Memory
    선언되어있는 함수를 이용하여 데이터 변환을 실행할 것이다. 따라서 data conversion function이 존재하는 std_logic_arith_package와 std ... isPort ( clk : in STD_LOGIC; -- 클럭 입력 신호en : in STD_LOGIC; -- enable 입력 신호we : in STD_LOGIC; -- write ... enable 입력 신호addr : in STD_LOGIC_VECTOR (3 downto 0); -- address 입력 신호di : in STD_LOGIC_VECTOR (3
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2014.11.05
  • 논리회로실험2014 -Adder Subtractor
    : out std_logic);end Lab_Assignment;architecture Behavioral of Lab_Assignment issignal temp : std_logic; -- 내부신호 선언begintemp ... 1. Purpose1) full adder 모듈을 component로 선언하여 4-bits Full Adder and Subtractor를 설계한다.2) 내부 신호 및 c ... Assignment 1S= (x and y) or z의 논리식을 VHDL 코드로 작성하여 simulate하는 과제이다. 먼저 x and y의 결과 값을 저장할 temp라는 내부 신호
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 1,000원 | 등록일 2014.11.05
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    (입력) : 가변 저항으로 휘도(Contrast)를 조절④ RS (입력) : Instruction과 Data를 구분하는 신호0 : Instruction Input 1 : Data ... Input⑤ R/W : LCD로부터 Data Read와 LCD에 Data를 Write하는 신호⑥ E(입력) : Enable 신호로 명령이 하나 입력될 때마다 펄스(↓)를 하나 ... pecifications : input and output informationentity LCD_test isport (rst: IN std_logic;clk: IN std_logic;LCD_A
    Non-Ai HUMAN
    | 리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감