• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 101-120 / 513건

  • 성인간호학 뇌경색 CASE STUDY 케이스스터디
    다. 눈에 빛이 들어왔을 때 동공을 수축하거나, 수정체의 두께를 조절하여 초점을 맞추는 작용 등이 여기에 관계한다. 하구는 주로 청각에 관여하여, 귀에서 들어온 신호는 여기를 한 번 ... 유창성 실어증이라고 하며 글씨를 쓰고 신호를 만들며 말을 하는 능력의 장애를 말한다.전 실어증(grobal aphasia)감각 및 언어구사 능력장애. 언어표현이나 감각능력이 전혀 ... ↑(100~115)HCO3.actHCO3.std19.822.61.2↓-=====> ER 내원 당시 Hemorrhage in left occipital lobe으로 인해 RBC, Hb, H압 환자
    Non-Ai HUMAN
    | 리포트 | 23페이지 | 3,000원 | 등록일 2019.10.02
  • 통신실험 결과 13
    control signal displayed on channel 1 of the oscilloscope go low?채널 1의 신호가 다시 원위치로 돌아갔다.7. On the fsk ... modem set RTS to b. what happens to CTS when RTS goes low?채널 2의 신호 역시 원위치로 돌아갔다.8. On the ... the modulator (Channel 1 of the oscilloscope)?채널 1의 신호가 화면에서 사라지면서 채널2의 신호가 올라갔다.SET RTS to low. what
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,000원 | 등록일 2014.12.05
  • 논리회로실험 2014 FSM
    tate : std_logic_vector(2 downto 0); --출력을 위한 내부신호signal next_state : std_logic_vector( 2 downto 0); --다음 상태를 표시하는 내부신호begincnt ... .ALL;entity bin_gray_cnt isPort ( clk,rst_n,mode : in STD_LOGIC; --클락, 리셋, 모드 입력신호cnt : out STD_LOGIC ... _VECTOR (2 downto 0)); --출력 신호end bin_gray_cnt;architecture Behavioral of bin_gray_cnt issignal s
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2014.11.05
  • [A+]atmega128 을 이용한 dotmatrix 회로도, 부품구성, 코드포함 도트매트릭스
    .................................................................61.3 TD67283 PART(소스 드라이버)동작원리 ... ]그 후 TD62783은 소스드라이버 이므로 +극에 연결을 한 후 외부전원(5V)을 인가 해준다.또한 ULN2803은 싱크드라이버 이므로 -극에 연결 한 후 사용한다.그림 4 ... 595 2EA②회로10핀 커넥터 2EA, TD62783 1EA, ULN2803 1EA, 저항(220OHM) 8EA, LED 64EA외부전원(5V)그림 7. 외부전원 DC to DC
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 5,000원 | 등록일 2014.09.26 | 수정일 2014.10.03
  • 논리회로실험 설계 보고서
    된 논리형이다. 이형은 0과 1 뿐만 아니라 실제 논리 회로에서 논리 신호를 시뮬레이션하는 데 유용하다고 알려진 7개의 다른 값들도 포함한다.std_logic_vector전형적인 ... 에도 엔티티 선언의 목적은 그것의 외부 인터페이스 신호 또는 포트 선언부(port declaration)에서 포트(port)를 정의하는 것이다.엔티티의 내부 동작은 그것의 구조 정의 ... 에 signal-type0개 이상의 신호들이 한 구조 내에 정의될 수 있고, 그 신호들은 하나의 논리도 에서 명명된 선에 대응한다.그 신호들은 구조 정의 내에서 읽혀지고 쓰일 수
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 조현병,schizophrenia 문헌고찰
    을 인정하는 듯한 언급을 피한다.- 환자의 경험을 부정하지 않고 간호사 자신의 지각경험과 감정이입 전달- 현실에 근거한 ‘지금 여기’의 활동에 집중하도록 격려- 불안 상승의 신호 ... , 쿠에티아핀, 지포라시돈장점? 장점: 양성, 음성증상 모두 효과적? EPSs 나 TD가 최소한으로 나타난다.부작용? 무과립구증,(클로르자핀 원인)? 고혈당증, 당뇨? 자발성 운동 ... ? 없음 EPSs, TD 위험 없음? 알피프라졸은 심각한 대사문제, 저혈압, 프로락틴 분비 등을거의 초래하지 않음※ 금기, 주의사항- 과민성이 있는 환자, 혼수상태이거나 중추신경억제
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2018.09.24
  • 6주차 결과 보고서 Synchronous Counter
    td_logic_vector(7 downto 0):="00000000");-- clk는 신호 변화의 기준 , rst는 reset 기능 , count는 clk가 상승 Edge ... Library IEEE;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned ... .all;entity sync_Counter is -- 설계의 입출력 선언port( clk : in std_logic;rst : in std_logic;count : buffer s
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,000원 | 등록일 2014.03.26
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    되어 있다는 것을 알 수 있다.처음으로 신호들이 선언된 entity구문이다. 여기서는 신호의 각각의 형태와 형식을 나타내주었다.두 번째로 S(상태)에 따라서 동작을 달리한다. S ... IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity alu isPort (a : in std_logic;b : in std ... _logic;rst : in std_logic;s : in std_logic_vector(3 downto 0);clk : in bit;SGE2_COM3,SGE2_COM2 : out
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 5주차 결과 보고서 D Flip-Flop
    -Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all ... ;entity dff is -- 설계의 입출력 선언port( d : in std_logic;clk : in std_logic;q , qb : out std_logic);-- D flip ... -flop에 사용되는 Input d , clk 는 1bit signal을 가지고 Outputq , qb 또한 1but signal을 가지므로 std_logic 형태를 선언한다.-
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2014.03.26
  • 논리회로실험-2014-Multiplex
    1. Purpose1) 2 to 1 mux 모듈을 component로 선언하여 8 to 1 mux를 설계한다.2) 입력에 따른 mux의 동작특성을 이해한다.3) 내부 신호 및 c ... omponent의 사용 방법을 학습한다.2. Backgroundmultiplexer는 소수의 채널 또는 선로에 다수의 입력 신호 중에서 조건에 맞는 특정 입력 신호를 하나만 선택 ... 가 단일 출력선을 통하여 신호를 전송하는 회로이다.[ 그림 1 ] multiplexer이번 실험에서는 위와 같은 multiplexer를 VHDL로 설계하는 것이다. 실험에서 최종
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2014.11.05
  • VHDL STOPWATCH 설계보고서, QUARTUS STOPWATCH 설계보고서
    ,signal4,signal5 : std_logic_vector(3 downto 0); -- 내부 신호로 사용되는 signal 선언BEGIN -- SUB모델 mmsec 을 사용하는 U ... 에 대한 설명library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic ... _unsigned.all;ENTITY stopwatch IS -- TOPMODEL에 사용되는 INPUT, OUTPUT 선언PORT (clk,startstop,reset : in std
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 5,000원 | 등록일 2014.02.28
  • 순차회로 설계 예비보고서
    를 한다.2. 예비 이론(1) Latch- Clock 신호에 관계없이 모든 입력을 감시하다가 언제든지 출력을 변화시키는 비동기식 순서논리소자로 한 비트의 정보를 데이터가 바뀌기 전 ... 그림에서 DI는 data input, DO는 data output, W는 입력 신호이다.- 기본적인 latch로는 S-R(Set-Reset) latch가 있으며, NAND 또는 ... NOR 게이트 2개로 구성된다.S-R latch 회로도 : NAND 게이트 구성S-R latch 회로도 : NOR 게이트 구성(2) Flip-Flop(F/F)- 클록 신호에 따라
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    거나, 안테나를 통하여 전달된 아날로그 신호를 컴퓨터 모니터에서 사용할 수 있도록 rgb로 표현되는 디지털 신호로 변환하는데 쓰인다. 2X4 디코더 디코더의 진리표 2X4 디코더의 내부회로 ... 된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 해당 숫자 (0~9)가 표시되도록 7 세그먼트의 입력 단자 a, b, ... ,g 신호를 만들어내는 조합회로이다. BCD 코드 ... 적 모델링자료 흐름 모델링library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity B1_PTJ_JSH_1 isport (X : in std_logic
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    각 스테이트 계산을 진행하게 된다.2)booth 곱셈기-주어진 entity 및 코드를 사용하여 booth multiplier를 설계한다.-Reset(rst) 신호를 통해 각 ... 시그널들을 초기화 한다.-승수와 피승수를 입력 받아 각 버퍼에 저장하고 load 신호가 인가됨에 따라 multiply 계산을 시작한다.-승수의 bit 길이인 8까지 count(cnt ... 한다.(2)설계 과정1)8BIT ALU우리가 해야 할 것은 상태를 받아서 그 상태에 대한 역할을 부여하고 그 역할을 수행하는 일이다. 따라서 s라는 6비트의 신호를 입력받는다. 총
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 판매자 표지 자료 표지
    디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    하면 되었지만,4-to-1 MUX이기에 4가지 경우를 선택하는 신호를 결정해주어야 했다.따라서 처음에 입출력port선언외에 sel라는 signal을 선언해주었고, 이것은 X와 Y ... 의 배열로 표현되어야 했기에 2bit를 가진 bit_vector로 선언하였다.sel라는 신호의 값이 “00”, “01”, “10”, “11”인 경우 각각 S의 값을 결정해주었다.이로써 ... XOR게이트를 사용하지 않은 1bit full adder가 구현되었다. - MUX를 사용한 1bit full adderlibrary ieee;use ieee.std_logic
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • FPGA 보드를 이용한 Baseball, Up&Down게임
    를 맞추기 위한 신호signal nump1_3,nump1_2,nump1_1,nump2_3,nump2_2,nump2_1 : std_logic_vector(3 downto 0); --숫자 ... 를 입력하기 위한 신호signal pp1, pp2 : std_logic; --플레이어를 알아보기 위한 신호signal clk_div : std_logic;signal count ... _game을 누르면 다시 INIT상태로 돌아간다. 게임의 목적이 비슷하여 State Machine Diagram은 똑같이 가게 된다.library ieee;use ieee.std
    Non-Ai HUMAN
    | 리포트 | 26페이지 | 3,000원 | 등록일 2013.10.01
  • 판매자 표지 자료 표지
    VHDL을 이용한 디지털시계
    INCREASE신호가 발생한다.소스코드는 다음과 같다.library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all ... => SW2: 시, 분, 설정모드를 결정한다.※ 모드시 SET을 선택하면 증가한다.SET : 각 모드별로 값을 증가시키는 스위치출력: 6개의 출력 신호 : 7_세그먼트로 이루어진 ... 출력(각 2개의 시, 분, 초 출력)ALARM : 알람 출력을 위한 LED 신호더욱더 자세하게 설명하면, 시간은 시, 분, 초까지 나타내고 시와 분은 수정도 가능하다. 날짜는 2월
    Non-Ai HUMAN
    | 리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • [논리회로설계실험]Decoder와 Encoder설계
    decoder_data_flow is Port ( x : in STD_LOGIC_VECTOR (2 downto 0); -- 입력이 3비트이므로 std ... 출력의 각 자리수마다 따로 연산을 집어넣었다. not x(n)의 표현의 경우 convert된 하나의 신호로 보아야 하기 때문에 반드시 괄호를 쳐서 묶어주어야 했다. D
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
  • 디지털 설계 및 실습 기말 텀 프로젝트
    _logic;S9: in std_logic; -- 9개의 서로 다른 입력 스위치에 대하여 S1~S9로 정의했다 --clk : in std_logic; -- 이 신호를 통해 두 명 ... 에서 정상적인 프로젝트 작동을 시행할 수 있도록 --rst : in std_logic; -- 게임을 초기화 진행을 위한 rst신호 --G1: out std_logic;G2: out ... 다음은 두 선수가 서로 비기는 경우이다.두 선수가 최선을 다한다면 경기는 언제나 비기게 되어 있다. 서로 비기는 경우3. 프로젝트 구현LIBRARY ieee;USE ieee.std
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2013.09.12
  • FPGA VHDL up & down counter (업다운카운터)
    Up & Down Counter입 력SW_1 = 숫자가 1씩 증가SW_2 = 숫자가 1씩 감소SW_4 = Clock 신호SW_F = Reset 신호출 력7 - segment ... 에는 16진수로 숫자를 표현(0 ~ F)LED에는 2진수로 숫자를 표현(꺼진 LED = 0, 켜진 LED = 1)작 동 내 용? Reset 신호(SW_F)를 누르면 숫자는 0 이 된다 ... .? Clock 신호(SW_4)를 누른 상태에서 숫자를 1 증가(SW_1)시키거나 1 감소(SW_2)시킬 수 있다.? 그 숫자는 7 - segment 와 LED로 동시에 표현
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:07 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감