• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,345)
  • 리포트(1,054)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 801-820 / 1,345건

  • 예비보고서-Exp 5. FIR and IIR Filter Design.hwp
    , impulse response and z-transform- Understand how the sampling rate affects the signal distortion ... implement digital FIR and IIR filters for filtering audio signal2) Investigate the signal distortion ... (), fir1(), hamming(), boxcar(),…, kaiser() functions. Signal processing toolbox of MATLAB need to be
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.11
  • 논리설계실험 chap 04 shift register
    함을 확인한다.Simulator 과정 중에 생기는 오류를 분석하고 수정하여 올바른 결과 값을 얻는다.- Testbench 를 직접 작성하여 Simulator로 입, 출력 signal ... 다. input 과 output은 4개의 입출력 값이 필요하기 때문에 data 값을 4로 놓고, 중간에 data를 저장해주는 signal도 4개로 설정해 주어야한다. 마지막으로 shift 형식 ... : std_logic_vector (3 downto 0 );data를 중간에 저장해 주어야 하는 내부 signal을 설정해주었다. 내부 signal 없이 port만으로 shift
    리포트 | 10페이지 | 1,000원 | 등록일 2008.10.26
  • 컴퓨터 구조 - #3
    tage and IDEX stage. If MEMWB stage's regwrite signal is true(1) and itsdestination register is same ... result from this program should be coincide with the one calculated by hand.2. Project process(1) Initial ... actually saved in register space.Therefore we use 'forward' process in this simulation to maintain c
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.26
  • MU0 CPU -VHDL로 설계하기(ALU, ACC, IR, MUX, PC, control unit)
    에 일정한 signal을 인가하게 된다.일정한 signal을 입력받은 Register는 그 signal에 해당되는 일을 처리함으로써 하나의 Instruction이 Processor ... of cu istype StateType is(LDA, STO, ADD, SUB, JMP, JGE, JNE, STOP, RST);signal present_state ... : StateType;signal data_i : std_logic_vector (7 downto 0);signal data_o : std_logic_vector (11 downto 0
    리포트 | 28페이지 | 2,000원 | 등록일 2008.11.25
  • 제4장 감각과 지각
    를 고려해 개인의 민감도 를 측정하는 방법을 제시하고 있는데 사람들이 자극(signal)을 방해자극(Noise)으로 부 터 분리해내는 결정과정을 통해 자극을 탐지한다고 가정하며 경계 ... 로 전환하여 대뇌로 전달하는 상향적처리과정. (bottom - up processing)? 지각 : 경험과 기대에 근거하여 감각을 해석하는 하향적 처리과정. (top -down ... processing)1. 감각과 지각의 측정감각수용기: 외부세계의 물리적 자극을 내면화 하는 과정의 초기 단계로 외부세계의 물리적 특성을 비교적 정교하게 신경신호로 전환하는 기능이 있
    리포트 | 6페이지 | 1,500원 | 등록일 2012.04.01
  • Basic Logic Circuit Design
    vmplify or switch electronic signals. The MOSFET includes a channel of n-type or p-type s ... advent of high-k dielectric materials in the CMOS process.④Using Karnaugh map, express boolean algebra
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • mhc의 모든것
    response signal molecules)2.Discovery of MHC 1-4) 사람의 MHC 에 대한연구 human alloantiserum 을 이용한 연구 ... processing and presentationIntroduction Major histocompatibility complex1.What is MHC? self and non-self ... 는다 : antigen 이 특정한 cell 에 의하여 processing 되어 다시 presentation 되었을 때에 antigen 과 response : antigen 과 MHC
    리포트 | 35페이지 | 1,000원 | 등록일 2011.03.26
  • Extended Frequency-Directed Run-Length Code with Improved Difference Vector Scheme
    . One is un-compacted signal and another is compacted signal. Compaction reduces # of signal from ... be totally waste process when we can’ find or make many target sequences.Testing data have many don’t ... can make it by behind process. This scheme reduces four transitions to one or two transitions in 5
    리포트 | 29페이지 | 2,000원 | 등록일 2009.05.23 | 수정일 2014.01.17
  • 영상처리를 이용한 정밀측정
    , 가상현실 등이 있다 . - 영상의 변천사 영상처리란 ? 영상이란 ?영상처리란 ? 영상을 대상으로 하는 신호처리 (signal processing) 의 한 분야로서 , 영상 ... 으로부터 원하는 정보를 얻기 위해 행하는 모든 종류의 처리를 의미한다 . 영상처리 (Image Processing) 란 ? 감마보정의 예영상처리란 ? 디지털 영상데이터의 흐름영상처리 ... 에 g) 기하학적 처리 (geometric processing) 프레임 처리 (frame processing)영상처리란 ? 포인트 처리 (point processing)영상처리
    리포트 | 64페이지 | 1,000원 | 등록일 2011.11.01
  • 능동형 소음저감 기법을 위한 도로교통소음 예측 모형 평가 연구
    한국도로학회 안덕순, 문성호, 안오성, 김도완
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 디지털논리회로 설계 프로젝트 보고서
    ounter. "Clock base" saves informations of all device and sends all signals to Seven Segment. "Time ... 할 수 있어서 초보에게 있어서 유용한 방법이다.processProcess문은 어떤 변수의 변화에 의해 작동해야 하는 연산을 여러 개 구성해야 할 때, 각 구성요소들이 병렬 ... 적으로 작동하도록 하는데 사용되는 기본적인 도구이다. Process문 내부의 각 조건들이나 함수들은 전부 병렬적으로 작동 한다. 이 기능은 매우 편리한 도구이기도 하지만, 회로를 구성
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • VHDL을 이용한 UART설계
    px_states; signal data : std_logic_vector(7 downto 0);Receive Component(2)begin process (clk, read ... : in std_logic_vector(2 downto 0)); end uart; architecture behavioral of uart is signal rxclk : std ... _logic := '0'; signal txclk : std_logic := '0';begin clock_gen : entity work.baudgen port map( clk_in
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
  • Western blotting
    게 된다. Apoptosis signal이 들어오면 conformational change가 일어나 dimer를 형성하여 미토콘드리아 외막에 작용하여 구멍을 만들고 미토콘드리아 내부 ... ) BH3 sub-family이 family는 BH3 domain만을 가지고 있어 BH3 only protein으로 분류되기도 한다. 이들은 apoptosis signal 이 들어오 ... -family인 Bid/Bim과 결합되어 있고 Bax, Bak은 아무것도 결합되어 있지 않은 상태로 미토콘드리아 외막에 존재한다. Apoptosis signal이 들어오게 되면 Bik
    리포트 | 5페이지 | 2,000원 | 등록일 2010.06.06
  • cDNA Microarray의 이해
    )Data processingS/B 1.4 for R G S = signal B = background Test using means and mediansData filtering ... 에 array된 전체 유전자 signal 강도를 이용한 보정 Housekeeping gene normalization : housekeeping gene의 signal 강도를 이용한 보정 ... External control normalization : 농도를 알고있는 외부 표준물질을 sample에 동일량 첨가하고 labeling 한 후 양자의 signal 강도를 보정
    리포트 | 36페이지 | 2,000원 | 등록일 2008.11.20
  • Cam을 이용한 image 인식 및 Google Earth 구현.
    of the accelerometer to the PC, or specifically the application which generates the signal of the ... keyboard cursor. The reason why we translated the board output to the signal of the keyboard cursor ... was that it was easy to implement rather to the signal of the mouse cursor and click. Before we s
    리포트 | 7페이지 | 3,800원 | 등록일 2010.06.03
  • VHDL-Pre lab - Mux and DeMUX
    signals- describe its functional behaviors- write VHDL codes- make a input/output pin assignment ... the TTL databook- describe its input output signals- describe its functional behaviors- write VHDL c ... Z0의 Output으로 A0, A1이 나온다는 것을 알고 coding을 해야 한다. 이때 input 값이 output 값으로 나온다고 해서 실질적인 signal 요소를 혼돈 해서
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • 능동소음제어의 2차 경로 모델링
    ] .Active Noise Control (ANC) Introduction-ANC 탄생배경 디지털 신호처리기술 (digital signal processing : DSP) 에 대한 이론 ... of digital signal processing using MATLAB , 2005 [5] L. J. Eriksson and M. C. Allie, Use of random ... ) [1] P. Lueg , Process of silencing sound oscillations, U.S. Patent 2, 043, 416, Jun. 9, 1936. [2] S
    리포트 | 16페이지 | 1,500원 | 등록일 2010.05.18
  • 도시브랜드 제품보증이 구매의도에 미치는 영향,제품보증유형과 제품유형의 조절효과
    이 효과naling theory: Do consumers perceive warranties as a signals of quality?,” Journal of Consumer ... J. and Bernard J. Jaworski(1989), “Information Processing From Advertisement: Toward an Integrative ... Perception Process,” In MVenkatesan (Ed..), Proceeding of the Third Annual Conference of the association fo}
    리포트 | 29페이지 | 3,000원 | 등록일 2012.09.25
  • 스피드건(speed gun)
    하고 DSP(Digital signal processing) 기술을 채택하고 있다.현재 쓰이고 있는 제품DISPLAY CURRENT, LAST AVERAGE SPEEDTRACKS ... , 테니스, 야구, 하키, 자동차경주, 보트경기 등 먼 거리측정과 고정확도를 자랑한다. 또한 첨단 디지털기술을 사용하여 빠른 데이터 처리, 간결한 터치패드와 표시창이 돋보이며 시그널
    리포트 | 26페이지 | 2,500원 | 등록일 2010.01.02
  • 자동제어
    (disturbance)외부로부터 제어변수를 교란n(s) : 센서잡음(sensor noise)센서신호를 교란e(s) : 오차신호(error signal)기준입력과 측정된 출력의 차이로 ... (plant) 또는 프로세스(process)제어하고자 하는 시스템K(s) : 제어기(controller) 또는 보상기(compensator)오차신호에 따라 적절한 제어입력을 생성
    리포트 | 9페이지 | 1,000원 | 등록일 2011.04.17
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 23일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감