• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,345)
  • 리포트(1,054)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 1,001-1,020 / 1,345건

  • [매스컴] 디지털 오디오 포맷
    정정된 데이터나 디지털 신호 처리(digital signal processing)에 의해 변조된 각종 데이터를 D/A(digital-to-analog) 변환기를 통하여 아날로그
    리포트 | 22페이지 | 2,000원 | 등록일 2011.03.31
  • 인장실험(영문)
    from the load Cell which is to transfer department place and it is sent a signal with the computer ... process. Because, it is from a hazard which prevents a stress concentration.그림 1. stress and strainP
    리포트 | 6페이지 | 1,000원 | 등록일 2009.12.05
  • learning strategy & style
    (nonlinguistic signals): 마임, 몸짓, 얼굴 표정, 소리 모방을 사용함9직역 (literal translation): L1에서 L2로 단어, 숙어, 복합어 또는 구문을 그대로 직역 ... ? Mayer"behaviors of a learner that are intended to influence how the learner processes information"? O
    리포트 | 6페이지 | 1,000원 | 등록일 2010.10.06
  • [DSP] FIR Filter Design
    . 이 론Digital Filter- Introduction일반적으로 filtering 의 목적은 input signal의 quality를 향상하거나 (예를 들면 노이즈의제거 또는 ... 감소), signal 로부터의 정보를 알아내거나 , 합쳐진 signal을 다시 개개의 signal로 나누기도 한다.Digital filter는 digital signal ... 를 사용하여 자동적으로 조정이 가능하다.4) 하나 이상의 signal을 오직 digital filter 하나로 filtering 할수 있다.5) Filtered and
    리포트 | 6페이지 | 1,000원 | 등록일 2005.05.21
  • [운영체제]OS 과제 프로세스 스케줄링
    #include #include #include #include #include ... #define MAX_IO 100#define MAX_PROCESS 200#define MAX_QUEUE 100#define TIME_QUANTUM 10struct q_entry {long ... mtype;// message typeint ppid;// 부모 프로세스의 idint p_num;// process numberint p_num2; // 시뮬레이터에 입력
    리포트 | 28페이지 | 2,500원 | 등록일 2006.01.11
  • Digital Filter
    . 이 론Digital Filter- Introduction일반적으로 filtering 의 목적은 input signal의 quality를 향상하거나 (예를 들면 노이즈의제거 또는 ... 감소), signal 로부터의 정보를 알아내거나 , 합쳐진 signal을 다시 개개의 signal로 나누기도 한다.Digital filter는 digital signal ... 하여 자동적으로 조정이 가능하다.4) 하나 이상의 signal을 오직 digital filter 하나로 filtering 할수 있다.5) Filtered and unfiltered
    리포트 | 6페이지 | 1,000원 | 등록일 2005.05.21
  • Capstone Design
    txdata: in std_logic_vector(7 downto 0));end component;signal txdata, rxdata : std_logic_vector (7 ... , function_set, entry_mode, disp_onoff, line1, line2);signal lcd_state : state;signal cnt : integer ... range 0 to 4095;signal clk_1k : std_logic;component aroport(CLK_10M: in std_logic;clk_1k: buffer std
    리포트 | 23페이지 | 8,000원 | 등록일 2007.01.09
  • [유전공학]제조합 단백질 생산을 위한 host cell
    foreign gene might contain termination signal ⇒ premature termination③ foreign gene might contain ... unfavored codons2. Problems caused by E. coli① might not process the recombinant protein correctly e.g
    리포트 | 2페이지 | 1,000원 | 등록일 2006.04.22
  • 한국전력 기업분석
    목표지표4)엔지니어링 기반 지능형 전력망 확충ⓐ주요내용□ 최소 물량, 최상 성능의 Process Engineering 구현□ 신재생 전원간 전력계통 연계 최적화 등 지능형 전력망(S ... 한 전원입지 시그널 선제적 제시?반영□ 신공법/신기술 개발, 확대 적용을 통한 전력계통 효율화? 발전기 지역 편중에 따른 수송설비 부족 해결, 계통 복잡화에 따른 불안정성 해소
    리포트 | 28페이지 | 2,500원 | 등록일 2012.11.20
  • tsh (Tiny Shell) 구현
    the signal handlers *//* These are the ones you will need to implement */Signal(SIGINT, sigint ... igset_t mask; /* signal mask *//** 입력받은 cmdline을 명령어를 parse할수 있도록 token한다.*//* 들어온 명령어를 쪼개어 버퍼에 나누어 저장 ... . Otherwise, fork a child process and* run the job in the context of the child. If the job is running in
    리포트 | 1,000원 | 등록일 2006.12.14
  • IEEE 802.16 (WiMAX) Mesh Mode
    , a new node selects the sponsor node with best signal quality Interference-aware sponsor selection sc ... WiMAX Mesh Mode in IEEE 802.16 WiMAX Frame Format Entry Process Scheduling in Control Subframe
    리포트 | 33페이지 | 2,000원 | 등록일 2009.06.07
  • [dna chip & protein chip] 발표 한글+영문 번역 대본 (A+)
    hip, we can obtain the information like these.The signal-transmission and management of that within ... 이gonucleotide를 위치시킬 수 있는 것입니다.Electronic microarray of Nanogen is the process attaching electrode on ... ample with oligonucleotide, it turns to be attached at the intended location. Through the process, we c
    리포트 | 7페이지 | 8,000원 | 등록일 2008.06.09
  • Shell 구현 (Operating System)
    고 buf 배열에서 그 문자를 없앤다. 그리고 child process가 execvp로 실행 후 parent process로 보내오는 signal을 wait하지 않고 바로 ... 은 키를 누를 때마다 하나의 키에 반응하기 위해(특수키) 사용하였고 echo는 tab이나 화살표 사용 시 그에 따라 찍히는 문자들을 막기 위해 사용하였으며 signal ... ommand에 저장을 했으므로 다음에 호출될 시에 다음 실행될 명령을 parse_command에 저장한 뒤 return한다. 이는 먼저 실행되어 child process에게서 쓰일
    리포트 | 20페이지 | 2,000원 | 등록일 2007.01.07
  • 디지털 오디오의 개념,돌비 디지털,DTS ,SDDS,SACD,THX ,MPEG
    하고 재생시에는 부가된 오류 검출 정정부호를 이용하여 원래의 데이터로 정정하고 이 정정된 데이터나 디지털 신호 처리(digital signal processing)에 의해 변조된 각종
    리포트 | 15페이지 | 3,000원 | 등록일 2010.11.18
  • 스레드란 발표수업자료 공룡책
    으로서 CPU 이용의 기본 단위가 됨 경량 프로세스(LWP)라고도 함 ⇒ 일반 프로세스는 중량 프로세스(heavyweight process) 쓰레드의 구성 쓰레드 ID, 프로그램 카운터 ... Asynchronous Cancellation, Deferred Cancellation 시그널 처리(Signal Handling) 어느 쓰레드에게 시그널을 전달해야 하는가? 쓰레드 ... ancellation target 쓰레드가 주기적으로 자신이 종료 되어야 할지를 점검하며, 그것이 질서정연하게 죽을 수 있는 기회부여3. 쓰레드와 관련된 문제들(계 속)시그널 처리
    리포트 | 51페이지 | 3,000원 | 등록일 2008.03.26
  • [운영체제]프로세스관련 자료구조 조사
    가 사용하고 있는 모든파일들에 대한 정보를 가지고 있다.4) mm_struct (line16270-16264)5) signal_struct (line16308-16312)2.리눅스 ... Operating System1. process table, ready queue, process descriptor에 해당되 는 자료구조 조사1. process table ... ) find_task_by_pid() (line16569-16580)- hash table를 찾고 프로세스의 process descriptor pointer를 리턴한다2
    리포트 | 2페이지 | 1,000원 | 등록일 2005.12.06
  • 휴대폰의 과거 현재 미래(영문)
    ommunication. Because the process of transmission is done by encrypted digital signals, it is technically ... a wireless signal in Italy. He proved his experiment by sending a radio telegraph to two different
    리포트 | 7페이지 | 2,000원 | 등록일 2007.11.07
  • VHLD을 이용한 Digital Door-Lock(디지털 도어락)
    : std_logic_vector(2 downto 0) :="000";signal ModeSel: std_logic_vector(1 downto 0):="00";signal room ... _sel_Sig: std_logic_vector(1 downto 0):= "00";beginModeSelect:process(clk, check, change, call
    리포트 | 1,500원 | 등록일 2008.01.08
  • 디지털통신 정리
    Transmission? content를 고려한다.? Amplifier를 사용하지 않아 Noise에 무관하다? Repeater를 사용하며 signal을 받는다.? 비트 형태를 추출해낸다.12 ... 이 적다.(노이즈를 무시할 수 있으므로..)? 단점- 감쇠(Attenuation)가 크다. / Bandwidth가 넓다.9. Data and Signals? Digital sign ... al은 digital data에서 비롯되고, digital data는 analog에서 비롯된다.10. Analog Transmission? content를 중요시하지 않
    리포트 | 18페이지 | 1,000원 | 등록일 2007.06.10
  • [공학]RFID, Theory & Application
    design.Transmits and receives RF signal.ActiveUtilizes power source to power on board sensors and ... BackscatterFig.2 Inductive CouplingRF signal0,1UHF passive tags use backscatterCouplingA reader antenna ... processing subsystem..PAGE:3RFID Hardware components..PAGE:4RFID Tag TypesHigher cost and capabilities
    리포트 | 16페이지 | 1,000원 | 등록일 2007.04.16
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 25일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감