• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,345)
  • 리포트(1,054)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 701-720 / 1,345건

  • VHDL를 이용한 Digital Clock의 설계
    은 output port를 제외하고 쓰이는 수많은 변수들은 모두 회로 내부에서 동작하므로 signal선언을 하여준다. State와 Next state의 초기상태는 시간모드(Time)로 지정 ... STATE, Next_STATE : STATE_Watch := Time;-- Initial value of STATE and Next_STATE is Time mode.signal Inc ... : bit; -- Inc defines signal and bit type.beginprocess (CLK, STATE, SET) -- If CLK or STATE or SET
    리포트 | 34페이지 | 10,000원 | 등록일 2010.06.24
  • 모터 제어 실험
    controller and the controlled process. An in-put signal or command r is applied to the controller ... , whose output acts as the actuating signal u; the actuating signal then controls the controlled ... table response.Steady state behaviorQ. Why is this so?A. Because PI controller integrate error signal. It
    리포트 | 21페이지 | 2,000원 | 등록일 2010.12.28
  • TOK essay 작성 노하우
    a chosen subject is transferred to our brain, and it interprets the signals as what we are seeing.3 ... as we are.” Seeing is one form of perception, the process of raising our awareness through our s ... the process of selecting a subject. Emotionally-arousing subjects can be more easily appealing to
    리포트 | 11페이지 | 25,000원 | 등록일 2010.12.09 | 수정일 2018.02.27
  • 확률 및 랜덤변수 hw3
    /2);gauss_f=gauss_f/sum(gauss_f);z=conv(y, gauss_f); % convolution of signal Y & gaussian ... filterfigure(1);plot(z);title('Plot : Convolution of signal Y & gaussian filter');xlabel('Frequency(Hz ... (xB CODE2-1. 이 신호에서 0.5초에서 2.5초까지 2초 동안의 신호 (총 8192*2 = 2^14 크기의 vector)를 추출하여 random process X라 하고 X
    리포트 | 13페이지 | 3,000원 | 등록일 2012.03.11
  • 자판기 설계 코딩
    japangi;architecture test of japangi istype states is(s0,s1,s2,s3,s4);signal state : states;signal s ... eg : std_logic_vector(6 downto 0);signal c_out : std_logic_vector(3 downto 0);beginnextstate ... : process(clk,reset,c_milk, c_luxury, uja, peanut, coin)beginif reset = '1'thenstate
    리포트 | 4페이지 | 2,500원 | 등록일 2010.03.14
  • Reading and Vocabulary Focus 4 - Golden Worms 7과 본문해석
    .Silang은 그의 손을 안쪽으로 슬며시 밀어 넣는다.Then, using finger signals rather than words, the two agree on a price.그러 ... 처 나무줄기 형태로 발화한다.Though the process occurs underground, as the fungus flowers, it pushes its way out
    시험자료 | 8페이지 | 2,000원 | 등록일 2016.02.09 | 수정일 2020.09.27
  • 비정상적인 QRS파 검출을 위한 알고리즘 구현
    omplexIntroductionQRS complex is the main signal in electrocardiogram. electrocardiogram abbreviated ECG is the ... filtered in next step. This nonlinear operation can compress the small values of the signal denoted y ... 3.y3(i)=y2(i)*(y2(i-3)+y2i-2)+y2(i-1)+y2(i)+y2(i+1)+y2(i+2)+y2(i+3))^2In the final, the signal denoted
    리포트 | 10페이지 | 2,000원 | 등록일 2010.06.10
  • 산술논리회로(ALU)
    Behavioral of ALU is signal mode : std_logic_vector(1 downto 0); signal inst : std_logic_vector(1 downto 0 ... );begin mode = ir_bus(3 downto 2); inst = ir_bus(1 downto 0); process(in_a, in_b, mode, inst) begin -- ... _a; end case; else alu_out =in_a; end if; end process; end Behavioral;SimulationCAD TOOLAltera MAX
    리포트 | 11페이지 | 1,000원 | 등록일 2010.05.11
  • The Extended Kalman Filter(EKF)를 이용한 비행체 궤적 추적
    filtering signal. However, In the situation that increase mapping number, filtering trace represents ... that the most accuracy trace is only extended kalman filtering signal. This is because the ... filtering signal collects statistical information about location of airplane whenever time is running out
    리포트 | 7페이지 | 1,000원 | 등록일 2010.11.12
  • Design DC motor controller
    function like . The transfer function between the error signal e(t) and the input signal r(t)is.E(s).R(s ... measuring the number of rotation and changing the pulse into the voltage signals. As a result ... procedplementation of an analog controller using op-ampFollow the process we done before, we made a PID
    리포트 | 14페이지 | 2,500원 | 등록일 2010.12.16
  • [리눅스]리눅스 프로세스
    되고 있으면, wait는 자식 프로세스들 중 하나가 최초로 종료되는 시간에 복귀한다.(부모와 자식의 동기화)신호(signal)개념 비동기적인 사건(interrupt):H/W적인것과 S ... 프로세스의 실행을 추적하는 신호신호(signal)신호의 이름은 #define 명령을 이용하여 표준 해더 파일인 signal.h에 정의 된다. 신호의 종류 SIGHUP: hangup ... : 비가진 파일을 닫는다. #include stdio.h #include signal.h #define OUTPUT “ex7-.out” #define MAXNUM 10000 Int c
    리포트 | 39페이지 | 1,000원 | 등록일 2006.07.08
  • Cancer molecular-target therapy
    signals to down streaam. EGFR is expressed in various solid tumours, including 40~80% of NSCLCs(Non ... together and we called this process about dimerization. Dimerization allowed to tyrosine kinase activation
    리포트 | 39페이지 | 1,000원 | 등록일 2011.01.05
  • 기본계측실험
    time. Generally, oscilloscope shows it's signal with green spot on a cathode-ray tube. But, now a ... days, there are some using liquid crystal display(LCD) with digital signal.Oscilloscopes are used in ... e the DC offset while maintaining the same peak amplitude and measure the output voltage signal.Exp
    리포트 | 21페이지 | 2,000원 | 등록일 2010.12.28
  • [전자]vhdl로만든 fpga보드 도트메트릭스
    issignal clk_10, clk_5 : std_logic;--------------------클럭 시그널signal cnt_10, cnt_11 : std_logic_vector( 3 ... downto 0);-------10진 카운터 시그널signal cnt_5 :std_logic_vector(2 downto 0);-------------------5진 카운터 시그널 ... signal en0 : std_logic; ------------------------------------en 신호signal rom1, rom2 : std_logic_vector
    리포트 | 6페이지 | 2,000원 | 등록일 2006.04.27
  • [MATLAB]Digital Signal Processing using MATLAB 2장 연습문제 풀이
    reflections at the walls and ceiling.The audio signal experienced by listeneris a combination ofand
    리포트 | 16페이지 | 4,000원 | 등록일 2006.06.27
  • 1번숙제_공정제어
    의 줄임말으로 controller의 output이 error signal에 비례한다는 특징이 있다. 따라서 매우 빠른 속도로 error를 보정해준다는 장점이 있지만 offset이 존재 ... Heating Tank에서는 error signal이 커질수록 출력을 감소시켜야 하므로 Reverse Mode를 적용해야 한다.* e(t) = ysp(t) - ym(t)* p(t ... PROCESS CONTROL (CHBE306)1. Introduction to Process ControlProcess control을 하는 목적은 우리가 원하는 공정조건을 유지
    시험자료 | 21페이지 | 1,500원 | 등록일 2012.05.01
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    (0rchitecture ho of ten_counter is signal cnt : std_logic_vector (0 to 3)); begin upcount : process(c ... _off); -- 알람 설정 버튼 signal state : state_type; Process (alarm_switch) -- alarm on/off 설정 표시 variable ... ; --entity 구문 종료 Architecture ho of ten_counter is --architecture 선언 signal cnt : std_logic_vector
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 판매자 표지 자료 표지
    감각심리학(A+급 자료)
    - ation)임을 발견하였다. 신호가 출현할 것이라는 관찰자의 기대가 크면 클수록 관찰자의 ‘예’반응의 편향 또한 커진다는 것이다.* 신호탐지 이론(signal detection ... (opponent-process theory)ㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡ3. 청각(audition)1) 음파(sound wave)* 손뼉을 치는 행동은 물체를 진동시키기 때문
    시험자료 | 6페이지 | 1,500원 | 등록일 2016.06.18 | 수정일 2016.10.04
  • Adaptability : the new competitive advantage -Harvard Business Review
    read and act on signals of change The ability to experiment rapidly and frequently – not only with prohow} ... Business processes Technology Organization Environment 동원할 수 있는 능력 ? 동원할 수 있는 능력동원할 수 있는 능력 0000000 공유
    리포트 | 39페이지 | 2,000원 | 등록일 2014.12.18
  • Immunocytochemistry(IHC) -H&E staining
    신호(signal)는 정상 혈청을 전처리(pretreatment)하여 감소시킬 수 있는데, 통상적으로 2차 항체(secondary antibody; 염색하고자 하는 항원과 결합 ... processing은Sampling -> Fixation -> Washing -> Dehydration -> Clearing -> Infiltration -> Embedding
    리포트 | 13페이지 | 5,000원 | 등록일 2015.04.28
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 26일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감