• AI글쓰기 2.1 업데이트
  • 통합검색(1,346)
  • 리포트(1,055)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 861-880 / 1,346건

  • CMOS와 CCD의 이해
    할 수 있는 자기버블메모리)와 대등한 것을 찾고 있었다. 메모리 분야에서 상당한 영향력을 행사하면서 CCD는 imaging과 signal processing이라는 두가지 특유의 기능 ... 것으로 기대되었다.fig.1 화소 배치에 따른 series-parallel-series signal I/OCCD가 적외선 초점면에서 두각을 보이는 많은 기능이 있다. 예를 들 ... 는 아날로그 신호를 전달하는 전하 패킷을 이동시킬 수 있기 때문에 각각의 아날로그와 디지털 신호의 처리기능을 수행할 수 있다. signal delay, multiplxing
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2009.06.08
  • 전자자물쇠(VHDL)
    ,input2,input3,input4,err1,err2,err3,err4);signal next_state : states;signal key_sw: std_logic_vector ... (13 downto 0);signal key_in1: std_logic_vector(13 downto 0);signal key_in2: std_logic_vector(13 ... downto 0);signal key_in3: std_logic_vector(13 downto 0);signal key_in4 : std_logic_vector(13 downto 0
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2007.04.07
  • 단백질 합성과정
    의 Met 제거, signal peptide 제거, zymogen의 활성화-glycosylation: oligomer 첨가 -> receptor 역할cf. 원핵생물에서는 발현 불가능-h한 역할 ... 을 하나의 유전자에서 만들 수 있어 여러 개의 단백질을 만드는 것이 가능.-RNA 가공과정(RNA processing)-1차 전사물(primary transcript): 유전자
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 1,000원 | 등록일 2013.05.28 | 수정일 2014.10.31
  • Fir iir 필터 설명 비교 장단점 디지털필터 Digital filter
    않다면 FIR 필터로 설계하면 된다.○ FIR과 IIR필터의 비교* 일반적으로 filtering 의 목적은 input signal의 quality를 향상하거나 ( 예를 들면 노이즈 ... 의 제거 또는 감소), signal 로부터의 정보를 알아내거나 , 합쳐진 signal을 다시 개개의 signal로 나누기도 한다. Digital filter는 digital ... signal에 filtering algorithm을 적용하기 위한 hardware 또는software routine을 말한다.Digital filter는 DSP에서 아주 중요한 부분
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2008.03.08
  • 판매자 표지 자료 표지
    PID제어
    Control Engineering Design, December 2012디지털 신호의 원판 모터위치 PID제어PID control of Digital signal motor ... response.Keywords : Digital Signal Processer, PID control design, DC Motor control designI. 서론 제어공학설계
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 4,000원 | 등록일 2013.05.01
  • First impression
    all the signals given off by a new experience. I would like to research how good these impressions ... omposite of all the signals given off by a new experience. Psychologists agree that snap judgments are a ... , where feelings are also processed, which accounts for the emotional punch of some first encounters
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2010.05.23
  • 고혈압과 Ca++ channel
    coupling process leading from electrical signal (excitation) to contraction in skeletal muscle..PAGE
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,000원 | 등록일 2010.07.02
  • VHDL을 이용한 LED, LCD 문자출력
    signal count : std_logic_vector(3 downto 0);beginlpm_rom_component : lpm_romgeneric map(lpm_width ... _vector(3 downto 0);type tstates is(t0, t1, t2);signal state: tstates;signal addr : std_logic_vector(ate ... 하는 data 출력counter : process(clk)beginif (clk'event and clk = '1') thenif( count = "1101") thencount
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • 유전체학(Genomics)
    자들은 새로운 발견을 위해 좀더 미세하고 다양한 "signal"을 얻는 실험을 진행할 수 있다. 다만 현재의 실험적, 통계적 informatics tool이 이러한 목적으로의 사용 ... 이 가능한지는 불분명하다.5. InformaticsLarge-scale, high-throughput 실험 방법들은 정보처리(information processing)와 분석 시스템
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,000원 | 등록일 2012.11.26
  • Multichannel Marketing: Mindset and Program Development
    multichannel..PAGE:3Multichannel shoppers are now in the majority and spend more than signal-channel shoppers ... value- “The lifetime value of a customer”->Experience journey, A relationship process -> The ... processes that have proven durable over time.b. Recognize human/consumer characteristics when
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 2,000원 | 등록일 2010.07.29
  • QR_paper (QR코드를 이용한 마케팅 전략)
    . Lately, KT embarks on marketing campaigns on ‘Egg 2’ which changes WIBRO signal into Wi-Fi through ‘L ... phishing or hacking is impossible because the code is inspected once more in the final process ... the annoying process of traditional way of getting a ticket, such as standing in line. -This system c
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,000원 | 등록일 2011.05.07
  • 3st_project
    . 07 (Wes)과목명: Digital signal processing교수명: Sangsin Na분 반: Wed / Fri D학 번: 200620216, 200720033
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 2,000원 | 등록일 2012.04.03
  • [전기전자응용실험] Regulators and DC-DC Converters 예비보고서
    Emitting Diode) and signal processing circuit. Sensors measure distance using reflection of light. There is ... and the object, setting input signal of oscilloscope in ac mode.▷ answer to the question* 4.1 ... use coil rather than resister because coil has good efficiency. Though process of transform of DC-DC
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2009.07.29
  • 의학영상처리에 관한 PPT
    로 표현Image Sensor에서 획득된 전기적인 영상신호는 pre-processing이라 불리는 전처리 과정을 거침. A/D를 거쳐 메모리 저장, digital video sign ... al 형태로 전기적 영상신호를 컴퓨터로 전송화상 신호를 발생시키는 비디오 출력 처리기를 최근에는 의학영상 판독을 위한 장치로 개발되는 추세의학영상처리의 목적의학영상처리의 분야영상
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 3,000원 | 등록일 2009.06.13
  • Taqman PCR
    quencher dyes are in close proximity, no fluorescence signal is emitted due to the quenching effect.Taq ... processing(e.g. gel electrophoresis) is required.Cross contamination can easily lead to false
    Non-Ai HUMAN
    | 리포트 | 26페이지 | 1,000원 | 등록일 2011.06.28 | 수정일 2016.05.11
  • VHDL 코드를 이용한 M bit 가산기와 비교기
    signal은 x,y 그리고 carry가 들어가게 되고, output으로 carry_out 과 sum_out이 출력이 되게 된다. 출력으로 나온 carry_ out이 다시 상위 가산기 ... 의 input으로 들어가게 되고, 또다른 input signal과 합쳐져서 sum_out 과 carry_out의 output으로 나오게 된다. 1비트로 구성되어지는 4비트 adder ... );end component;signal tmpsum : std_logic_vector( 3 downto 0 );signal tmpcarry : std_logic_vector( 2
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 2,000원 | 등록일 2008.09.23
  • [디스플레이 시스템 실험] Interfaces man-machine . machine-machine interface
    여 Op-amp를 이용하여 신호를 처리한다.아날로그 신호에 대한 신호처리는 보통 Analog Signal Processing 이라고 하는데 일반적으로 Op-amp에 의한 Analog ... Signal Processing은 증폭, 잡음 제거를 위한 필터링 등이 주요한 기능이다.2. 실험 준비물PBM-170DH LCD MonitorDigital Oscilloscope ... 면 dual link가 가동되고 Clock data는 공통으로 사용된다. 각 Pin에 할당된 데이터들을 살펴보면 T.M.D.S data 시그널은 R, G, B data와 H,V s
    Non-Ai HUMAN
    | 리포트 | 37페이지 | 5,000원 | 등록일 2011.08.10
  • 뇌졸증
    (면적)High signal on DWI, Acute infarctionTheory of restricted diffusion in acute stroke. Cytotoxic ... tissue acidosis) -- reversibleADC mapDWIHigh signal on DWI, Acute infarctionCytotoxic edema (energy ... measurement VS Relative measurementIV bolus injection of contrast agent Rapid dynamic imaging of signal c
    Non-Ai HUMAN
    | 리포트 | 44페이지 | 1,000원 | 등록일 2009.03.22
  • POSIX의 core service인 POSIX.1에서 정의된 시스템 콜
    (프로세스의 종료)fork : Creates a process (프로세서 생성)kill : Sends a kill signal to a process (프로세스로의 신호전송 ... ) 함수)sigaction : Examines and changes signal action (신호 동작의 점검과 변경)sigaddset : Adds a signal to a ... signal set (신호집합에 관한 연산 (Manipulate Signal Sets))sigdelset : Removes a signal to a signal set (신호집합에 관한
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2006.11.24
  • 인공 망막 Artificial Retina
    to the electrodes.- 9 - ME 790 Physiological system ▶ Implanted component translates the signal into ... 790 Physiological system System of a retinal prosthesis ▶ Process of Retinal prosthesis- 12 - 5
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 2,000원 | 등록일 2010.01.29
  • 전문가 요청 쿠폰 이벤트
  • 2025 마이해캠리포트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 21일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감