• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,345)
  • 리포트(1,054)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 721-740 / 1,345건

  • [UNIX] Smallsh 구현 (기능확장)
    상태로 가야 한다.- signal 를 받을 당시 실행되고 있는 foreground processes 들은 종료- signal 를 받을 당시 실행되고 있는 background ... 1 &prompt> cmd1 | cmd2 기능 3) signal 처리. terminal 에서 발생하는 SIGINT, SIGQUIT 에 대한 처리기능 4) pipe 지원 ... 아 실행할 수 있도록 해야 한다.기능 3) myshell 자체는 해당 signal 를 받아도 종료되어서는 안 되며 두 signal 를 받으면 prompt 를 출력하고 즉 입력 대기
    리포트 | 2,000원 | 등록일 2008.12.29
  • [전자전기] DSP과목 MFC과제(샘플링 신호 복원)
    -pass filtering을 통하여 Continuous-Time signal을 복원하는 과정을 구현한다.- input signal : (, )- sampling frequency ... 1. 개요 : 일반적인 Digital Signal Process에서, C/D converter는 Continuous-Time Signal을 Sampling을 통하
    리포트 | 1페이지 | 1,500원 | 등록일 2009.09.01
  • 10진 카운터설계
    _vector (0 to 3)); -- 출력은 4bit의 논리벡터.end; -- entity의 끝Architecture code of Dec is -- 설계 부분 선언signal c ... nt : std_logic_vector (0 to 3); -- count를 피드백 하기 위한 포트선언.begin -- 설계 부분 시작 선언.process(clk) -- 프로세스
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 둘러보는 전자기학
    기로 하자.원하는 정보를 추출 전달 축적하거나 혹은 시스템을 관측 제어할 수 있도록 신호에 어떠한 가공을 하는 것을 신호처리 (signal processing)라 한다. 이러 ... 한 신호처리를 컴퓨터나 전용 하드웨어를 이용하여 디지털 형식으로 처리하는 기술을 디지털 신호처리 (digital signal processing - DSP)라 한다. 자연계에 존재 ... 로 바꿀 수 있으며 휴대전화에서 응용되어진다. 휴대전화를 분해해보면 DSP(digital signal processor)가 있다. 작은 사이즈로 고기능, 저 가격을 실현하기 위해서
    리포트 | 3페이지 | 1,000원 | 등록일 2010.05.27
  • 4비트 카운터 설계
    0);signal clk0, rst : std_logic :='0';component async_cnt port(clk0, rst : in std_logic;out0, out1 ... ";beginu0: process(clk, rst)beginif rst='0' thencnt_out clk_out);clk rst, cnt_out=>out0, clk_out=>clk1
    리포트 | 4페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • VHDL 예약어와 연산자
    everity, signal, shared, sla, sll, sra, srl, subtype, then, to, transport, type, unaffected, units ... , procedure, process, pure, range, record, register, reject, rem, report, return, rol, ror, select, s
    리포트 | 2페이지 | 1,000원 | 등록일 2011.03.27
  • 운영체제(공룡책)8판 연습문제 풀이 -6장
    implified.=만약 signal 수행이 맨 마지막 줄로 온다면 lock이 신호를 보내는(signalling) process에서 그 신호를 받아야 하는 입장의 process ... 라고 예상할 때 사용하므로) spinlock이 적합하다고 할 수 있다.6.35 Suppose the signal() statement can appear only as the ... 로 옮겨질 수 있다. 그렇지 않으면 신호를 보내는(signalling) process는 반드시 잡고 있던 lock을 놓아야만(release) 할 것이고 수행을 하기 위해서 lock
    리포트 | 1페이지 | 1,000원 | 등록일 2009.08.25
  • Fluorescence Quenching
    을 넣은 큐벳을 큐벳 홀더에 놓고 녹색 레이저를 쏘아 주면서 PMT에 표시되는 signal (단위 V)를 측정한다.⑧ 실험 결과 얻은 signal(V)을 Stern-Volmer ... .0250.26① NaI용액(quncher)의 농도[Q] vs Signal graph⇒ NaI용액의 농도 즉, quencher의 농도가 증가할수록, 형광의 signal 값이 감소 ... process 등의 여러 가지 방법으로 흡수한 에너지를 다시 방출하게 된다.Figure 1. 들뜬 상태의 분자의 에너지 방출 형태이 중에서 들뜬 상태의 분자가 가지고 있는 에너지
    리포트 | 8페이지 | 3,500원 | 등록일 2010.09.06 | 수정일 2021.05.18
  • VHDL을 이용한 세계시계 구현
    issignal clkcnt: integer range 499999 downto 0;--signal clkcnt: integer range 9 downto 0; 시뮬레이션시 사용 ... 을 처리process(mode_btn)beginif mode_btn = '0' thenmode_main = 3 thenmode_main
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • 진동 및 동적 시스템 실험 기본계측 실험 보고서 (영어)
    oscilloscope is a tool commonly used to analyze complex signals such as those which carry voice or data and ... intensity of the signal waveform measured in volts or amps.Sine Wave WaveformSquare Wave ... through. The first process in the factory production process of resistance inaccuracy, error rate, or
    리포트 | 21페이지 | 1,000원 | 등록일 2011.06.23
  • posix_thread_prgramming_in_the_unix.
    만을새로 생성하고 텍스트는 공유한다.프로세서 간 통신:IPC( signal, pipe, fifo, semaphore, shared memory, socket등)을 사용 ... 다.2. Thread와 process의 차의점2.1. Process의 구조프로세서 생성(fork) :텍스트,데이터, 스택을 위한 영역이 필요하며 동일 프로세스인 경우 데이터와 스택 ... 한다.Process 모델 :Heavy-weight 모델이라고 할수 있다. Process를 복사할 때 process가 가지고 있는모든 자료구조를 생성하고 복사하는 등 이로 인해 발생하는 비용이 크
    리포트 | 45페이지 | 5,000원 | 등록일 2009.12.16
  • FSM Vending-Machine 실험설계
    ; architecture ar_fsm of fsm is type state_type is (st0, st1, st2); signal c_state ... , n_state : state_type; begin c_process : process(in_clk, in_rst) begin ... `) then c_state
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.06
  • Measurement of glucose using adsopriton of glucose oxidase at platinum working electrode
    transducer which converts it to an electrical signal. The output from the transducer is amplified (c ... ), processed (d) and displayed (e). (http://www.lsbu.ac.uk/biology/enztech/biosensors.html) 4Glucose
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.01
  • 프로세스의 모든것
    ···························································51.서론1. 프로세스(Process) 정의컴퓨터 내에서 실행중인 프로그램을 일컫는 용어로서 컴퓨터 내에서 실행중인 프로그램을 일컫 ... 는 용어를 말한다.여러 분야에서 과정(process) 또는 처리(processing)라는 뜻으로 사용되는 용어로, 컴퓨터 분야에서는 ‘실행중인 프로그램’이라는 뜻으로 쓰인다.1960 ... 있다. 프로그램 또는 그 일부를 뜻하기도 하고, 데이터의 입력이나 출력 등을 조작하거나 처리하는 것을 말하기도 한다.부모 프로세스(parent process)라는 상위계층과 자식
    리포트 | 9페이지 | 2,000원 | 등록일 2013.06.01
  • vlsi 설계 - 자판기
    사이에 사용되는 signal, constant 선언beginp1 : process(clk) --in switchbeginif(clk'event and clk ='1') then --상승에지에서 동작button_coffee_en ... _0은 투입된 주화가 없다는 것을 말하고, won_50은 투입된 주화의 합이 50원이라는 것을 뜻함. 최대 투입할 수 있는 주화는 200원signal won_state : s ... tates;signal coin_50_en, coin_5, coin_100_en, coin_10 : std_logic;signal button_coffee_en, button_cof
    리포트 | 14페이지 | 2,000원 | 등록일 2009.10.11
  • VHDL을 이용한 ALU설계(소스코드포함)
    B를 2`s completement를 취해줘야 한다. 보수화를 통한 값을 임의의 signal에 저장한 후 또 다른 입력 A와 더하여 준다. 그럼 output 값을 얻을 수 있 ... );end ALU;architecture alu_arch of ALU is --Architecture 선언signal p0,p1,p2,p3,p4,p5,p6,p7 : std_logic ... _vector(15 downto 0);--signal p0~07 16bit for multipliersignal bb : std_logic_vector (7 downto 0);-
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • LED 발전 과정, 최신 동향, 기초 이론, 제조 공정, 측정 분석
    Control signal (computer) MDA chiller Dry pump N 2 일반배기 Scrubber House N 2 Dry Air CW/S NH 3 Gas cabinet ... process Pattern Transfer Method PR Ashing Etching Exposure PR Masking Substrate Film DepositionⅣ ... LED 기초 이론 Wafer 공정 Epi 공정 FAB 공정 Module Packaging Etching process Directionality of Etching Processes
    리포트 | 82페이지 | 5,000원 | 등록일 2012.12.24
  • The Protein Import System of Mitochondria
    the Tim complex.Third, the N-terminal matrix targeting signal is cleaved by the matrix-processing peptiane. ... extension that functions as a targeting signal. For proteins imported into the matrix, this signal is a ... of the basic targeting signals by acidic patches in the cytosolic domains of Tom22 and Tom20. This
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.21
  • 벡터화를 통한 최적화 기법
    next part.Problem1. Sinusoidal waveform optimizationStatementThere is one signal which combined with ... DC component, cosine and sine. We want to find a similar signal using optimization. Normally, the for ... this part.MethodologyAssume that there is the sinusoidal signal denoted zin which is mixed with DC c
    리포트 | 15페이지 | 2,000원 | 등록일 2010.06.10
  • 영작, how to write a good paragraph
    need unity and coherence. Especially for coherence, transitional signals (something like 'first, s ... oherance를 위해서는 transitional signals 와 order(time order등등) 가 필요하다. 결론은 글전체의 내용을 간단히 요약하고 그에 대한 자신의 생각이나 느낌을 담는다. 그리고 나서 revising을 거치면 한편의 draft가 완성되는 것이다. ... paragraph. When you write a paragraph, you need to go through some processes to make the paragraph more
    리포트 | 2페이지 | 1,000원 | 등록일 2010.04.17
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 23일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감