• 통합검색(4,683)
  • 리포트(4,399)
  • 자기소개서(209)
  • 시험자료(39)
  • 논문(18)
  • 방송통신대(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로실험" 검색결과 721-740 / 4,683건

  • [논리회로실험] CMOS회로의 전기적 특성(결과)
    회로도구성시 참고사항▶ 실험에 사용되는 1번,2번,7번,14번을 제외한 나머지 입력에 대하여 풀업/풀다운처리하였다.(2) 오실로스코프에 프루브 2개를 설치한 다음 CH1,2 VDIV ... 회로가 INVERTER이므로 OUT은 0을 나타내야한다. 하지만 CH2(VOUT)의 오프셋 전압이 -1V이므로Y축은 0V에서 1V 뺀 -1V를 나타내야한다. 실험방법에서처럼 (4 ... .5V로 설정하여 다음과 같은 회로를 구성한다.구성한 회로도▶ 실험1에서 사용한 기판에 칩만 바꾸었다.(2) 오실로스코프에 프루브 2개를 설치한 다음 CH1,2 VDIV는 1V
    리포트 | 8페이지 | 1,500원 | 등록일 2009.03.20
  • 논리회로설계실험 비동기 카운터 설계
    1. 비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport(CLK, RST : in std_logic;CNT_out: buffer std_..
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • RAM에 관한 논리회로 실험 결과 보고서
    하게 나와 버리게 된다.ⅳ) 실험 과정이 너무 길어서 중간에 입력 데이터를 하나 잘못 넣을 경우 다른 출력 값에도 영향을 미치게 된다.ⅴ) 64BIT RAM에서는 저장 형태가 그레이 코드형태로 저장되는 것을 알 수 있었다.
    리포트 | 3페이지 | 3,000원 | 등록일 2010.11.30
  • CONVERTER에 관한 논리회로 실험 결과 보고서
    되지 못한다. 이렇게 되면 출력파형에서 C의 출력이 1이 되는 부분 4, 5, 6, 7의 부분에서 파형이 변하지 못한다.○A/D CONVERTER⇒이 실험은 이전 실험인 D/A ... CONVERTER 회로의 OPAMP 출력에다가 741C 비교기를 연결하고, 또 가변저항을 연결한다. 그리고 D/A 출력전압값이 가변저항에 걸리는 전압보다 우세할 경우는 +15V를 가변
    리포트 | 5페이지 | 2,000원 | 등록일 2010.11.30
  • [논리회로실험] CMOS회로의 전기적 특성(예비)
    output of a logic circuit takes to change from one state to another(논리회로에서 하나의 상태에서 다른 상태로 천이할 때 걸리 ... 1. 실험목표1) High-speed CMOS logic family인 74HC시리즈의 전기적 특성을 이해하고 실험을 통해 동작을 확인한다.2) CMOS IC의 데이터시트 ... . 실험 장비 및 부품1) DC 전원공급기(power supply)2) 파형발생기3) 오실로스코프, BNC 프루브 2개4) 멀티미터5) IC : Inverter Philips 74HC
    리포트 | 12페이지 | 1,500원 | 등록일 2009.03.20
  • 아주대 논리회로실험 실험예비9 D/A & A/D Converter (DAC & ADC)
    실험 9. D/A & A/D Converter (DAC & ADC) 예비보고서● 이론(1) D/A converterD/A converter는 2진 디지털 신호를 아날로그 전압 ... 으로 변환하여 출력하는 회로이다. 아날로그 출력 전압 Vo는 디지털 입력 전압의 유무, 즉 디지털 입력 비트의 유무에 따라 바뀌는 것으로 아날로그 출력 전압 Vo는 디지털 입력 비트 ... 된다.이때 사용되는 resistor network에는 weighted resistor와 ladder type resistor가 있다.weighted resistor의 회로를 사용
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주대 논리회로실험 실험결과9 D/A & A/D Converter (DAC & ADC)
    회로 구성 사진Rf=4.5KΩ 일때 출력 파형Coment : 이번 실험은 D/A Converter 실험으로 디지털의 1과0의 값을 아날로그신호로 바꿔주는 회로 를 구성하여 동작 ... 을 확인해 보고 어떤원리로 이러한 기능이 가능한지 확인해 보는 실험이었다.위의 회로에서 보듯이 우리는 7490,7404,7405,741(OP-AMP) 소자를 사용하여 회로를 구성 ... ,QD이 이 회로에서 어 떤역할을 하고 있는지 확실히 알 수 있는 실험이었다.(4) Rf=4.7KΩ 일때 10.5KΩ 저항에 68KΩ을 병렬로 연결한 후 출력파형Rf=4.7KΩ 이고
    리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 논리회로 실험 보고서 (RAM)
    2-bit RAM의 동작 원리와 특성에 대해 조금이나마 알게 되었다.▶ 강호: 실험2는 64-bit RAM의 특성을 가진 IC 7489를 이용하여 회로를 만들고 데이터를 저장 ... 1. 실험결과(1) 2-bit RAMOE 0OE 1In 0In 1WR 0WR 1Out 0Out 11101001HL2101010LL3010101LH4010110LL< 1 >< 2 ... >< 3 >< 4 >=> 우리 조는 교과서에 있는 표는 보기도 어렵고 실험도 어려워 동영상에 있는 표를 이용하기로 하였다. 위의 시물결과와 같은 결과를 얻을 수 있었다. 마지막
    리포트 | 2페이지 | 1,500원 | 등록일 2008.11.27
  • [아주대]논리회로실험 1장[Basic gates] 예비 A+
    wikipedia교재 : 디지털논리회로[이론,실습,시뮬레이션]/한빛미디어/임석구,홍경호 저Bread Board 가상 결선도실험 1실험 2실험 2 회로에서 강의노트에 논리 기호로는 AND ... 게이트는 입력단자 한 개 이상과 출력단자하나로 구성되는 전자회로이다.논리레벨영역논리0논리1TTL0V~0.8V2.5V~5VCMOS0V~1.5V3.5V~5V디지털시스템에 흐르는 전압 ... 이나 전류와 같은 전기적인 신호는 두 가지 구분된 값으로(0과 1) 인식된다. 보통은 전압으로 나타내며, 디지털 회로논리 1과 논리 0을 구분하기 위해 두 전압 영역에서 동작
    리포트 | 10페이지 | 2,000원 | 등록일 2013.09.13 | 수정일 2013.09.15
  • 기본논리회로 실험 사전 결과 보고서
    기본 논리회로기본 논리회로1. 목적: 기본적인 논리회로 AND, OR, INVERT, NAND, NOR 회로에 대해서 알아본다.2. 실험장치: 오실로스코프 1개 직류전원(+5V ... ) 1개 IC 7400, 7402, 7408, 7420, 7432, 7451, 7468 각1개.3. 이론 ⑴논리 회로란? : 전압신호는 덧셈과 뺄셈과 같은 수학적 논리 연산이 전자 ... 과 같이 2진수 시스템을 사용하게 됩니다. 이러한 2진수 시스템 정보의 처리는 게이트(Gate)라고 불리는 논리 회로에서 처리 됩니다. 게이트는 입력 논리의 특정 조건을 만족할 때
    리포트 | 19페이지 | 2,000원 | 등록일 2010.09.12
  • 논리회로실험)2장 논리회로의 간략화
    1.실험목적1. 부울대수의 기본 정리를 이해한다.2. De-Morgan의 정리를 실험적으로 증명한다.3. 부울대수식과 De-Morgan의 정리를 이용하여 논리회로를 간소화시킬 수 ... 회로를 구성하고,표2.12를 보고 출력값을 나타내시오.*고찰실험을 하게되면서 부울대수와 De-Morgan의 정리에 대해 배운것같고회로도는 이제 여러번 하여서 좀 알것같다.하지만 회로 ... 있는 능력을 키운다.2.실험내용(1)NAND 게이트만을 이용한 NOT, AND, OR, NOR 게이트(a)AY0110(b)ABY000010100111(c)ABY
    리포트 | 6페이지 | 2,000원 | 등록일 2007.03.14
  • [논리회로실험] 실험2. 드모르간의 법칙 예비보고서
    실험 2. DeMorgan's Theorem1. 실험 목적1. 드모르강법칙을 이용하여 부울 논리식을 수정하여 간단하게 만든다.2. CMOS를 사용하여 논리회로를 구성 ... 하고, logic tester를 이용하여 드모르강 법칙을 실험적으로 증명한다.2. 기초이론드모르강 법칙드모르강 법칙의 단계적 적용1. AND를 OR로 OR를 AND로 대치한다.2. 변수들에 역 ... . 실험장비 및 재료CRO, dc and calibrateddc power supply, +5V at 50mASwitch bank, 5 switches per bank74HC00
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.05
  • 논리회로실험 7 세그먼트 디코더
    바업을 이해하고 이를 이용한 논리회로 구성 방법을 습득한다.◈이론7-세그먼트 LED 표시소자에는 내노드 공통형(Common Anode : C.A.)과 캐소드 공통형(Common
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 논리회로) SOP와 POS (Pro_VSM 시뮬, 진리표, 실험사진)
    1. 실험 제목 : SOP와 POS2. 실험 목적- Sum of Products와 Product of sums로 표현된 회로를 간략화 한다.- Pro-VSM을 이용하여 간략 ... 화 된 회로들의 동작을 검증 및 분석한다.3. 실험 내용① Reduce to a minimum sum of products (three terms)
    리포트 | 1페이지 | 1,000원 | 등록일 2013.06.09
  • [A+ 예비보고서] 아주대 논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 예비보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다.-주파 ... typeramp typecounter typesuccessive approximation A/D Converter(2) Analog to Digital Converter실험11) 회로 ... 하고 68KΩ 사용 전후를 비교하라.실험21) 실험1 회로의 출력에 아래 비교기 부가2) 1KHz pulse를 single pulse로 바꾸고counter를 0으로 reset. 가변
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 논리회로 설계 실험 계산기 설계
    계산기 설계1. Introduction1)LCD를 이용하여 계산기를 설계 할 수 있다.2)LCD출력 특성에 대해 알 수 있다.3)FPGA 보드에 있는 다양한 스위치들을 다뤄 볼 수 있다.4)여러 개의 entity가 어떤 구조로 실행되는지 생각해봄으로써 VHDL 프로그램..
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • 아주대 논리회로실험 설계 8by8 multiplier 결과보고서
    논리회로실험 결과보고서논리회로 실험 설계1. 설계 목적- 8비트 2진수 입력 2개를 받아들여 곱셈을 하고 16비트 2진수의 결과값을 만들어 내는 곱셈기 구현 (8bit 입력 ... 적cation- multiplication using fft- 결론우선 기본적인 곱셈 알고리즘에 대해서는 논리회로 교재에도 나와 있고 쉽게 할 수 있는 방법이고, 다음으로는 카라 ... #따라서``모든``자연수``n,`m`에`대해`PM(n,m)=n BULLET m`이``참이다.3. 실험 진행상황 요약 설명1) 1주차- 설계 주제 소개- 평가 방법 소개여러 알고리즘
    리포트 | 18페이지 | 1,000원 | 등록일 2013.11.29
  • 논리회로 설계실험 농구전광판
    을 검색한 결과 이 현상이 채터링 현상임을 알 수 있었다.?채터링전자 회로 내의 스위치나 계전기의 접점이 붙거나 떨어질 때 기계적인 진동에 의해 실제로는 매우 짧은 시간 안에 접점이 붙 ... 었다가 떨어지는 것을 반복하는 현상. 이는 회로에 나쁜 영향을 끼치므로 제거해야 한다.다시 말해서 스위치를 누르고 땔 때 스위치가 바로 떨어지는 것이 아니라 순간 적으로 여러 번
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 논리회로 설계실험 shift register
    의 값에 따라 회로가 본격적으로 동작하게 된다.enable 이 L이면 Q는 이전 값을 유지하게 되고, H이면 mode의 값에 따라 그리고 dir의 값에 따라 shift의 종류와 s ... 는 port. clock과 동기로 작동한다.dir : shift direction을 표시해주는 port.mode: 회로 동작의 mode를 결정 해주는 port.pi: 4비트 데이터 입력 ... port.q: 4비트 데이터 출력 port.표 각 port 설명이 회로는 비동기 reset 및 동기 enable로 동작하는 회로이다. 이 회로의 특성을 if-elsif 구문으로 구현하면 다음과 같다.if(reset = '0') thenin_q
    리포트 | 9페이지 | 1,000원 | 등록일 2009.07.10
  • [A+ 결과보고서] 아주대 논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 결과보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다.-주파 ... 수 발생기를 이용하여 ADC를 실험하고 다양한 조건에서의 파형을 관찰한다.2.실험결과실험1. DAC계단파형 확인(1KHz) Rf=4.5kΩ계단파형 확인(1KHz) Rf=2.7k10 ... .5kΩ // 68kΩRf=4.5kΩ 7404 핀 2와7405 핀 1 사이7404 핀 4와7405 핀 3 사이7404 핀 6와7405 핀 5 사이-계단파형확인 실험에서 Rf의 값
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.27
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감