• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,292)
  • 리포트(1,160)
  • 시험자료(59)
  • 방송통신대(41)
  • 자기소개서(22)
  • 논문(10)
판매자 표지는 다운로드시 포함되지 않습니다.

"Logic 연산" 검색결과 481-500 / 1,292건

  • Report 컴퓨터구조 오퍼랜드자료
    (addresses), 수(numbers), 문자(characters), 논리데이터(logical data) 등이 될 수 있다. 수(numbers)는 정수(integer) 혹은 고정소수점 수 ... 는 10진수를 2진수를 표현한 것이다. 문자에서는 ASCII 코드가 사용되며 논리 데이터(logical data)의 경우에는 비트(bit) 혹은 플래그(flag) 등으로 사용 ... -address instruction)오퍼랜드를 한 개만 포함하는 명령어로서 오퍼랜드 형태는 주소이다1) 명령어의 길이 : 16bit2) 연산 코드 : 5bit3) 기억장치 주소
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.28
  • 판매자 표지 자료 표지
    VHDL을 이용한 설계-7 segment
    ① Library - 신호의 type 정의와 논리 연산을 수행하기 위해 라이브러리를 추가한다.② Entity - 필요한 Input과 Output port를 정의한다. 클럭 신호 ... 에 따라서 segment의 출력이 결정되기 때문에 클럭이 입력, segment가 출력이 된다. 클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개 ... 의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment vector의 MSB부터 차례로 a, b, c, d, e, f, g성분이 된다
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • [3주차] Adder_Subtractor
    이 되나, K + (-0)≠K 인 현상이 발생하여 산술연산에는 부적합하다.3. 2S complement?1S complement와 똑같은 방식으로 하나 음수를 표현할 때 마지막 ... 의 감가산기에 대해서는 K-M에서 M을 2S complement를 취함으로서 K+(-M)으로 변환이 가능하게 되어 일반적인 full adder만으로도 연산이 가능해진다.4. 4bit ... 함을 알 수 있다.?옆의 truth table에서 볼 수 있듯이 A와 B의 합이4bit의 범위를 벗어나는 경우에도 carry_out을이용하여 연산이 가능함을 볼 수 있다.5. 4bit
    리포트 | 16페이지 | 2,000원 | 등록일 2012.06.30
  • PLC,공압유압
    이 PLC( Programmable Logic Controller)를 출현 시키게 되었다.-정의: PLC(Programmable Logic Controller)란, 종래에 사용하던 제 ... 어반 내의 릴레이 타이머, 카 운터 등의 기능을LSI, 트랜스터 등의 반도체 소자로 대체시켜, 기본적인 시퀀스 제어 기능에 수치 연산 기능을 추가하여 프로그램 제어가 가능하도록 한 ... 출력 모듈을 통하여 로직, 시퀀싱, 타이밍, 카운팅, 연산과 같은 특수한 기능을 수행하기 위하여 프로그램 가능한 메모리를 사용하고 여러 종류의 기계나 프로세서를 제어하는 디지털
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 정보검색론 기말 리포트
    과 Boolean Logic (AND, OR, NOT 과 같은)을 지 원하는 지에 대해 파악하고, 키워드를 연결시키거나 정해진 검색연산기호를 사용하여 검색 전략을 구체화시킨다.(4) 제 ... 세부적이거나 전문적인지 여부에 따라서 검색도구 또한 달라질 수밖에 없다.(3) 제3단계 : 검색전략의 구체화▶ 키워드 추출, 필드 선정, 연산자 연결1,2 단계에서 주제분석을 하 ... 는 연산자를 키워드간에 연결하는 실제 검색수행 이전의 보다 구체적인 검색 단계이다.일반적인 검색엔진의 경우는 웹 페이지 자체를 '원천 자료'로 하여 만들어지기 때문 에 찾고자 하
    리포트 | 5페이지 | 1,500원 | 등록일 2014.06.08
  • 교육심리학
    다. 어린 아동의 음악재능을 개발할 때 음악재질이 이미 프로그램 되어 있어서 환경이 그것에 영향을 미치도록 기다리고 있는 것처럼 보인다.(3) 논리- 수학지능(logical ... -mathematical intelligence): 수학천재에게 나타난다. 복잡한 추리를 해야 하는 분자생물학이나 고에너지물리학의 이론화 과정에서도 보인다. 산수연산이나 상징적 논리는 모두 이
    방송통신대 | 5페이지 | 3,500원 | 등록일 2016.01.20
  • VHDL을 활용한 4비트 Ripple Carry Adder(덧셈기) 프로젝트
    port(subtract : in std_logic; --(-) 연산이 가능하도록 설정해주는 입력값x,y : in std_logic_vector (3 downto 0); --4bit ... + ci(ai ? bi)(3) Logic diagram(4) Block diagram(5) Source-- Sogang University electronics ... engineering ---- 20060937 Park Jung Hwan --library ieee;use ieee.std_logic_1164.all;entity abcd is --엔티티 선언
    리포트 | 7페이지 | 1,000원 | 등록일 2012.03.20
  • 데이터 통신 정리본
    연산과 같다!(서로 같음=0, 다름=1)?Multiplication by2 ^{n}: logical left shift n-bit(3)CRC???1?M(X)?X ^{n-k}=Q
    시험자료 | 6페이지 | 1,500원 | 등록일 2019.03.04
  • 논리회로실험 예비 9
    }} = {bar{Input}}이 되고, S는 그 반대가 된다. 따라서 Q는 Input과 동일하며 Output은 Write와 AND연산되므로 출력은 되지 않는다.Read를 위해 1을 인가 ... 되지 않은 채로 둔 것을 open-drain이라고 한다. 따라서 입력이 H,H일 때를 제외하면 open돼 있는 상태이다. 오픈 드레인 출력은 Wired logic 혹은 다중 소스 버스
    리포트 | 8페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • [경영정보시스템] 기업경영과 정보관리, 경영정보시스템(MIS)의 종류
    device), 연산장치(arithmetic and logic unit)로 구성되어 있고 이외에 보조기억장치(secondary storage device)를 가지고 있다. 제어장치 ... 는 전체의 기능을 제어하는 역할을, 주기억장치는 작업에 수행되는 동안 자료의 프로그램을 기억하는 역할을, 그리고 연산장치는 계산 기능을 수행한다. 보조기억장치로는 플로피디스크 ... (floppy disk), 하드디스크(hard disk), CD-ROM 등이 사용되고 있다. 마지막으로 출력장치는 연산결과를 출력하는 역할을 수행하는데 프린터가 대표적인 출력 장치이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2014.06.29
  • 4학년2학기중간과제물 교육심리학
    어서 환경이 그것에 영향을 미치도록 기다리고 있는 것처럼 보인다. 직업 예)작곡가, 바이올리니스트③논리-수학지능(logical-mathematical intelligence)수학천재 ... 에서 나타난다. 복잡한 추리를 해야 하는 분자생물학이나 고에너지물리학의 이론화 과정에서도 보인다. 산수연산이아 상징적 논리는 모두 이 지능을 요구한다. 직업 예)과학자, 수학자
    방송통신대 | 6페이지 | 4,500원 | 등록일 2016.10.02
  • 실험2결과 CMOS
    있다.2. 고찰실험1) CMOS의 DC noise와 관련한 전기적 특성을 알아보는 실험이었다. 신호가 logic gate를 지나며 연산이 이루어질 때 Propagation ... 에 측정하여 보인 결과에서 나타난 이 Abnormal 영역은 Propagation delay를 나타낸다. logic gate 내부에 이 지연 현상이 존재하는 것을 분명히 확인할 수 ... 에 보다 안정적인 연산을 가능하게 한다.실험3)CMOS에 DC를 가한 후 전압을 측정해 CMOS내부의 저항을 파악하는 실험이다. CMOS가 DC전압을 인가받을 경우 내부에 Stray
    리포트 | 6페이지 | 3,000원 | 등록일 2014.05.13
  • [디지털시스템실험(Verilog)] Arithmetic Logical Unit(ALU) 결과보고서
    Logical Unit실험목표① Arithmetic Logical Unit을 구현한다.실험결과① Arithmetic Logical Unit의 시뮬레이션 결과실험 당시 제공된 테스트벤치 ... 에 모두 나타내는 것은 비효율적이므로, 각각의 정확한 결과를 모듈 고찰 후 마지막 부분에서 보이도록 하겠다.고찰① Arithmetic Logical Unit의 설계코딩 소스는 다음 ... 과 같다. 위에서 아래로 차례대로 코드를 분석하도록 하겠다.모듈의 input은 총 5가지, output은 총 2가지이다.'alusign'은 연산의 unsigned/signed 여부
    리포트 | 6페이지 | 2,000원 | 등록일 2011.10.05
  • [데이터베이스]데이터베이스 질의(DB질의)의 중요성, 데이터베이스 질의(DB질의)의 처리과정, 데이터베이스 질의(DB질의)의 재구성, 데이터베이스 질의(DB질의)의 결과처리 분석
    과정을 생략하고 형성뷰에 저장된 값으로 질의 결과를 대치할 수 있다. 또한 형성뷰가 주어진 질의의 실행 과정에서 필요한 일부 연산 결과를 포함하고 있으면 해당 연산을 실행할 필요 ... 표현O(Cache & Query Optimizer)이다 [CR94]. CMO에서는 선택, 투사, 조인 연산으로만 구성된 질의를 가정하였고 캐쉬된 질의 결과의 이용 과정을 질의 최적 ... 되었다.Tsatalog [TSI94]는 논리적 데이터 스키마(logical data schema)와 물리적 데이터 스키마(physical data schema)가 독립적으로 구성된 환경
    리포트 | 8페이지 | 5,000원 | 등록일 2013.04.13
  • 판매자 표지 자료 표지
    신경망 모델 발표. (Mcculloch&pitts 의 논문읽고 자세한 설명포함)
    A Logical Calculus Of The Ideas Immanent In Nervous Activity (1943)McCulloch Pitts 모델Ⅰ모델을 통해 알아본 논리 ... 연산Ⅱ시냅스 지연Ⅲ활용 및 결론IVI. McCulloch-Pitts 모델McCulloch-Pitts 모델특 징1943년 맥클럭 피츠는 생물학적 뉴런을 단순화한 인공뉴런모델을 제시 ... 는 조건을 만족 시키기위해 T mw – p 식을 도출해 낼수가 있습니다II. McCulloch-Pitts 모델을 통한 논리 연산논리연산AND 연산x1x2y000010100111AND
    리포트 | 34페이지 | 2,000원 | 등록일 2014.01.10 | 수정일 2015.12.29
  • 사회과학 철학 명제 Propositional Logic
    Propositional Logic1. Propositional Logic이란?서술문으로서 그 내용에 대하여 진리값, 즉, 참이나 거짓 중 어느 하나만을 부여할 수 있는 문장 ... 없는 기본명제와 기본명제를 연결사(Connective)에 의해 결합한 합성명제가 있음◈ 연결사(명제연산에서 연산자)의 종류부정, 논리곱, 논리합, 조건(혹은 함의), 쌍조건(동치 ... ), 배타적 논리합 등이 있음◈ 각각의 연산에 대해 명제 P, Q를 이용하여 정의한다면정의 1) 명제연산을 위해서는 연결사(connective) 혹은 논리연산자를 사용. 즉 논리연산
    리포트 | 4페이지 | 1,000원 | 등록일 2005.12.15 | 수정일 2016.10.25
  • 판매자 표지 자료 표지
    교육심리학(만점) - 가드너(Gardner)의 다중지능이론을 설명하고, 그 교육적 시사점을 논하시오. 에릭슨(Erikson)의 성격발달 8단계설에 대해 설명하고, 그 교육적 시사점을 논하시오.
    는 능력이다. 어린 아동의 음악재능을 개발할 때 음악재질이 이미 ‘프로그램’되어 있어서 환경이 그것에 영향을 미치도록 기다리고 있는 것처럼 보인다.③ 논리-수학지능(logical ... -mathematical intelligence: 수학천재에게 나타난다. 복잡한 추리를 해야 하는 분자생물학이나 고에너지물리학의 이론화 과정에서도 보인다. 산수연산이나 상징적 논리
    방송통신대 | 8페이지 | 3,000원 | 등록일 2017.01.13 | 수정일 2021.06.29
  • 자동화응요실험 예비레포트
    PLC의 정의PLC(Programmable Logic Controller)는 "Process 혹은 Equipment의 제어를 위한 논리연산,Sequence제어, 지연, 계산 및 ... 산술연산등의 제어동작을 시키기 위한제어순서를 일련의 명령어 형식으로 기억하는 메오리를 갖고, 이 메모리의 내용에 따라 디지털, 아날로그의 입출력 모듈을 통해 여러가지 기계와 세서 ... 을 채택하며, 그것이 Bit 수나 클럭 속도에 따라 PLC의 성능이 결정된다. 대형 PLC의 경우 복잡한 산술 연산이나 PID 제어, 통신 및 원격 입출력 모듈 등에 별도의 마이크로
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • 실험1 예비보고서 실험 1. Basic Gates
    실험 1. Basic Gates1. 목적기본적인 logic gates(AND, OR, NOT, NAND, NOR, XOR)에 대하여 알아보고 이러한 gate들로 구성된 logic ... 진리표를 이용하여 논리회로의 입출력 관계를 Boolean equation 형태로 표현할 수 있고, 이 truth table를 부울 대수의 정리와 법칙 및 연산식을 이용하여 간략 ... 를 이용하여 3-input OR, NAND, NOR gate를 실험하려 한다. 각각의 logic diagram을 그려라.① OR GATEAL1≡AL2L2BBCC(A+B)+C = A
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.01
  • VHDL 예약어와 연산
    , until, use, variable, wait, when, while, with, xnor,xor2. VHDL 연산자? Logical Operator : 로직 연산을 수행하기 위한 ... logicalror : Rotate right logical? Concatenation : 서로 연결한다는 의미를 가진 연산자이다. 연산자로는 “&”을 사용한다. ... 연산자들을 말한다.and , or, nand, nor, xor, not, xnor? Relational Operator : 관계 연산자로 리턴 타입은 Boolean 타입으로 true
    리포트 | 2페이지 | 1,000원 | 등록일 2011.03.27
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감