• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,292)
  • 리포트(1,160)
  • 시험자료(59)
  • 방송통신대(41)
  • 자기소개서(22)
  • 논문(10)
판매자 표지는 다운로드시 포함되지 않습니다.

"Logic 연산" 검색결과 461-480 / 1,292건

  • 1. 가드너(Gardner)의 다중지능이론을 설명하고, 그 교육적 시사점을 논하시오.(15점 만점)
    어서 환경이 그것에 영향을 미치도록 기다리고 있는 것처럼 보인다.③논리-수학지능(logical-mathematical intelligence) : 수학천재에게 나타난다. 복잡한 추리 ... 를 해야 하는 분자생물학이나 고에너지물리학의 이론화 과정에서도 보인다. 이는 추론을 잘 이끌어 내며, 문제파악을 주먹구구식이 아닌 체계적이고 과학적인 방법을 동원한다. 산수연산
    방송통신대 | 11페이지 | 3,000원 | 등록일 2018.01.23
  • 기본 논리 함수(결과)
    합(OR), 부정(NOT)의 기본적 논리소자를 연결하여 수치를 나타내는 신호를 처리하는 회로이다. 전자계산기의 연산장치같은 주요 부분에 사용된다.[1]네이버 백과사전의 요약 내용이 ... 변수를 다루고, 이들 변수에 적용되는 수학적 논리의 연산을 취급하는 것이다.부울대수는 논리회로의 입출력 관계를 기술하는 대수학으로, 논리적으로 “0”과 “1”인 값만을 갖는 상수 ... 는 논리연산을 수행하는 소자로, 다이오드, 트랜지스터, 저항, 콘덴서 등으로 구성된 전자회로이다. 논리게이트에서는 전압이나 전류와 같은 전기신호로 논리레벨을 나타내고 디지털 시스템
    리포트 | 5페이지 | 1,000원 | 등록일 2012.07.01
  • 실험3결과 ADD&SUB
    Logic Circuit, 즉 실제 논리연산회로에서는 adder를 수차례 중첩시키거나 다양한 기능을 더함으로써 회로의 목적에 맞게 여러 용도로 이용되기도 한다. Ripple-car ... 0 1 0) (1 0 1 0 0) (1 1 0 0 0) (1 1 1 1 1)2. 고찰1) Half-adderCarry를 사용하지 않는 가장 단순한 수학적 연산 모델인 반가산기 회로 ... 하는 데에 시간이 상당히 걸렸다. 내림수의 역할이 특히나 더욱 그러하였는데, 실험에서 요구하는 논리연산이 ‘실험을 수행한’연산만을 위해서가 아니라, 연속적인 계산 과정 중에 필요
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • [2020-2 최신기출] 문화기술과 사회변동 중간고사 서울권역 한양e러닝 기출 족보
    를 이용하여 인간의 지능을 모방하여 만들어진 인위적인 지능자연지능 vs. 인공지능?컴퓨터기술을 통해 인간의 논리적 행태(logical behavior)를 흉내 내는 방법을 연구하는 학문 ... 을 움직여 춤을 추게 한다), 과 같은 인형극에서 유래된 개념의 단어가 존재변화현재?미래컴퓨팅 변화5세대 컴퓨팅(연산 중심) + PC/ 스마트폰6세대 컴퓨팅(인공지능) + 움직이
    시험자료 | 13페이지 | 2,000원 | 등록일 2020.07.25 | 수정일 2020.07.27
  • PLC 제어실험 보고서
    (Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이 타이머, 카운터 등의 기능을 LSI, 트랜스터 등의 반도체 소자로 대체시켜, 기본적인 시퀀스 ... 제어 기능에 수치 연산 기능을 추가하여 프로그램 제어가 가능하도록 한 자율성이 높은 제어 장치이다. 미국 전기 공업회에서는 “디지털 또는 아날로그 입출력 모듈을 통하여 로직 ... , 시퀀싱, 타이밍, 카운팅, 연산과 같은 특수한 기능을 수행하기 위하여 프로그램 가능한 메모리를 사용하고 여러 종류의 기계나 프로세서를 제어하는 디지털 동작의 전자 장치”로 PLC
    리포트 | 4페이지 | 2,000원 | 등록일 2014.06.04
  • VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    를 주파만든다. 위 그림의 경우는 0~4까지 세는 5진 카운터이다.(3) 그 외 VHDL의 문법에대해(3-1) STD_LOGIC 논리체계VHDL의 STD_LOGIC 논리체계는 위와같이 ... 볼 수있고 Z(High Impedance)는 자기자신이 가장 안 나오는 가장 약한 논리라 볼 수 있다.(3-2) 연산자(3-2-1) 할당연산자(3-2-2) 산술연산자빨간색으로 된 ... 연산자는 오류를 일으키기 쉬워서 잘 사용하지 않는다.(3-2-3) 논리연산자기본논리게이트때 사용했던것들이다. 이것들은 논리연산자라고 부른다.(3-3) Process문 & if문
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 실험 1. Basic Gates(예비)
    실험 1. Basic Gates1. 실험 목적⑴ Logic gates의 이해⑵ Logic 회로 구성 법칙의 이해①Boolean equation의 이해②De Morgan의 법칙 ... 를 표현 할 수 있고, 드모르강 법칙을 이용하여 다른 형태의 대체 논리 기호로 표현할 수 있다.(기본 게이트) (만능 게이트)-XOR 게이트-, OR 연산은 입력 A, B가 모두 ... 1일 때 출력이 1이 되는 경우를 포함한다. 하지만 XOR 연산은 A=1 또는 B=1이면 1을 출력하지만 A와 B가 모두 1일 경우에는 1을 출력하지 않는 OR 연산을 XOR 연산
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • VLSI 설계의 여러가지 현상들
    1.PLD(Programmable Logic Device, 설계 가능 논리 소자)1)정의PLD(Programmable Logic Device)는 제조 후 사용자가 내부 논리 회로 ... 할 수 있어서 재설정 가능 논리 소자(Reconfigurable Logic Device)라고도 불린다. PLD는 설계시 사양이나 기능이 정해져서 제조되기 때문에 나중에 회로 구성 ... 를 여러 번 고쳐 쓸 수 있기 때문에 오늘날 많은 사람들의 지지를 받아 개발품부터 양산품까지 폭넓게 쓰이고 있다. 일반적으로 PAL(Programmable Array Logic
    리포트 | 9페이지 | 1,500원 | 등록일 2013.06.20
  • 전자전기컴퓨터설계2 TTL gates Lab on Breadboard [결과레포트]
    ) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥20Op-Amp, 즉 연산증폭기의 특징들을 다시 공부하여 다양한 회로를 구성한다. 단순히 이론과 같지 않은 Op-Amp의 회로구성에 있어서 어떻게 입, 출력 ... 의 출력으로 구성된다. 하단의 진리표(truth table)에 따라 동작하는 논리곱(logical conjunction)을 구현한 것으로, [그림3]과 같이 게이트의 입력을 A, B
    리포트 | 21페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습1 [결과레포트]
    ) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥20Op-Amp, 즉 연산증폭기의 특징들을 다시 공부하여 다양한 회로를 구성한다. 단순히 이론과 같지 않은 Op-Amp의 회로구성에 있어서 어떻게 입 ... , 내부 Logic Cell 배치에 따라 delay Time의 결과가 달라진다.실제 하드웨어 동작 상황에 대한 시뮬레이션Half Adder[반가산기]Half Adder 실습회로그림
    리포트 | 25페이지 | 1,000원 | 등록일 2017.10.19
  • 판매자 표지 자료 표지
    반도체 제조공정 및 동향 [ex)웨이퍼,식각,금속배선,EDS,]
    연산의 역할을 한다. 트랜지스터나 다이오드를 개별소자라고 부르는 것에 비해 소자들을 모은 반도체를 집적회로라고 한다. 집적회로는 플래너(Planar) 기술이 개발된 이래 눈부시 ... 지에 해당하는 웨이퍼 위에 필름 역할을 하는 마스크를 놓고 빛대신 자외선을 쬐어 아주 정밀하고 복잡한 회로르 새겨 넣는 것이다. 이러한 집적회로는 정보의 저장과 연산 등의 역할 ... )으로 전환이 이루어지고 있다. 또한, 최근에는 이전의 도전재료(Al, W)보다 가격은 저렴하고 전도성은 더욱 우수한 구리(Cu)가 첨단 메모리, CPU 및 Logic 제품에 상용
    리포트 | 20페이지 | 3,000원 | 등록일 2016.11.15 | 수정일 2021.05.20
  • VHDL을 이용한 산술연산회로설계
    겠다. ◎ ALU Arithmetic and Logic Unit의 약칭. 컴퓨터의 CPU를 구성하는 부분의 하나로 덧셈 · 뺄셈 · 곱셈 · 나눗셈의 사칙연산, AND · OR ... VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu ... 로 나뉘어졌다. Alu는 덧셈, 뺄셈, 증가, 감소 그리고 shift를 행하게 하는 회로였다. State를 6비트로 두고, S0 ~ S5의 값에 따라 연산을 택하는 방법이
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 디지털회로실험 17장. 동기 카운터
    한 리스트 8.20의 기술도 가능 덧셈 연산자 [+]를 데이터 타입 std_logic_vector로 사용하려면 [5장(3) 산술 연산자]에서도 설명한 것처럼, 새롭게 std_logic ... ?동기식 카운터의 VHDL 기술리스트 8.18은 회로도에 기반한 기술인데 반해 리스트 8.19는 각 플립플롭 [D]의 논리식에 기반한 기술동기식에서는 덧셈 연산자 [+]를 사용
    리포트 | 13페이지 | 1,000원 | 등록일 2014.04.07
  • VHDL 디지털시계 발표 자료
    process 문 안에 집어넣는다진행 과정 계획과 성취도-4또 다른 문제 발생 * 시간, 초, 분 마다 Carry 연산자 구문과 수정 구문을 대입하였으나 초 단위는 매 clock 마다 입력 ... ample of a00 is component count port( clk, res : in std_logic; jb1,jb2,jb3,jb4,jb5,jb6 : in std ... _logic : out std_logic_vector(3 downto 0)); end component; component a01 port(dec_a, dec_b, dec_c, dec_d
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 전전자실험 예비 Report(논리회로)
    1. Logic Gate(논리 회로) 란?1) 로직 게이트란, 하나 이상의 입력을 받아서 해당 입력에 로직 게이트에 설정된 규칙을 적용한 후,적용된 결과를 출력으로 내보내는 장치 ... 이다.2. Logic Gate의 종류1) AND 회로게이트는 0을 "거짓"이라 하고, 1을 "참"이라고 할 때 두 개의입력이 모두 "참"이면, 출력도 "참"이 되며, 그렇지 않 ... 은 연산의 종류와 변수들이 참인가 거짓인가에 따라서 논리적 명제들이 참아니면 거짓이라는 논리에 바탕을 두고 있다. 불 대수의 2가지 중요한 측면은1. 변수들을 참 또는 거짓의 단지
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.12
  • [아주대] 논리회로실험 3장 결과(가산기 & 감산기)
    The Resulting ReportExperiment 3 ? 가산기 & 감산기OBJECTIVES2진수의 덧셈과 뺄셈을 위해 감산기와 가산기를 Logic gates를 통해 만들 ... 를 사용한 이유는 입력 A,B에 뒷자리에서 올라온 Carry 값C _{i}까지 더해지기 때문에 반가산기 두 개와 Carry 값을 연산하는 OR gate를 사용했다. 반가산기 ... 는 뒷자리에서 올라온 Carry 값까지 더해서A+B+C _{i}의 덧셈을 연산하고 출력해주기 때문에 최소 합 2진수 00부터 최대 합 2진수 11까지 출력을 한다(2비트 출력에서 앞
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.15
  • 의료전산일반
    를 사용한다. 즉, 현재 자료의 포인터는 다음 자료의 위치를 가리킨다.자료의표현 및 연산장치자료의 표현ALU Arithmetic Logic Unit 의 기능 덧셈, 뺄셈, 곱셈 및 나눗 ... 보건의료정보학--Index10. 의료전산일반컴퓨터 시스템의 구성자료의 표현 및 연산장치연산장치와 명령어제어장치기억장치입력 및 출려장치자료 Data | 실세계에 존재하는 모든 사물 ... 의 개념기계식 계산기 치차식 계산기 Toothed Wheels [B. Pascal] | 톱니바퀴 계산원리를 이용한 덧셈과 뺄셈연산을 하는 최초의 기계식 계산기 가감승제 계산기[W
    리포트 | 61페이지 | 2,000원 | 등록일 2014.06.04
  • [기초전기전자실험] PLC제어
    실험20 PLC 제어실험목적CNC(Computer Numerical Control)와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC ... 의 정의PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운터 등을 LC등의 반도체 소자로 대체시켜 소형화하고 기본 ... 적인 시퀀스 제어 기능에 수치 연산 기능을 추가하여 프로그램 제어가 가능하도록 한 자율성이 높은 제어 장치이다.시퀀스 연산자구분명령어기호기능설명시퀀스연산자A접점┨ ┠A접점 연산B
    리포트 | 6페이지 | 2,000원 | 등록일 2013.03.24
  • Matlab
    로서, 수치해석, 행렬연산, 신호처리 및 간편한 그래픽 기능 등을 통합하여 고성능의 수치계산 및 결과의 가시화 기능을 제공하는 프로그램.Toolbox : 신호처리, 통계학, 영상처리 ... , 제어, fuzzy logic, 재정, 화학 공정 등등이 존재하는 해당전공 부분의 내용을 심도있게 지원하는 함수들의 도서관과 같은 것.Matlab 코딩 체계는 우리에게 친숙 ... 가능콜론(:)을 사용해서 증가나 감소하는 벡터를 쉽게 만들 수 있다.행렬의 사칙연산Matlab의 기본적인 수학 함수, 삼각함수Plot 사용하기 : plot(xdata, ydata
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • [결과]실험1. Basic Gates
    NOR gate를 이용하여 3-input gate로 구성하여 논리 연산이 제대로 되는지 확인하는 실험 이었다. gate들의 출력단자를 통해 LED를 연결하여 L1과 L2 논리 값 ... 를 연결하여 X, Z, Y의 값을 알아보는 실험 이었다.입력출력ABXZY00110010011011111111실험2AB사진0001102. 고찰이번 실험은 각 Logic gate ... 를 연결하여 논리 연산의 결과 값을 예상 값과 비교해 보았다. 실험1의 경우에는 예상 했던 논리 값들과 같은 값들이 나왔다. 하지만 실험2에서는 예상 값과 달라서 잘못된 점을 찾기 위해
    리포트 | 8페이지 | 1,500원 | 등록일 2013.09.28
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 09일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감