• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 산술연산회로설계

*승*
개인인증판매자스토어
최초 등록일
2014.06.10
최종 저작일
2013.03
25페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

"VHDL을 이용한 산술연산회로설계"에 대한 내용입니다.

목차

1. Introduction
2. Design
3. Conclusion & Evaluation

본문내용

6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu로 나뉘어졌다. Alu는 덧셈, 뺄셈, 증가, 감소 그리고 shift를 행하게 하는 회로였다. State를 6비트로 두고, S0 ~ S5의 값에 따라 연산을 택하는 방법이었다. 그리고 Booth알고리즘은 와 의 값을 이용하여 연산하는 방법이었다. 이를 통해 단계를 3가지로 두고 곱셈을 가능케 하였다. 그럼 Alu와 Booth를 알아보도록 하겠다.

◎ ALU
Arithmetic and Logic Unit의 약칭. 컴퓨터의 CPU를 구성하는 부분의 하나로 덧셈 · 뺄셈 · 곱셈 · 나눗셈의 사칙연산, AND · OR · SHIFT 등의 논리연산을 하는 장치. 일반적으로 몇 비트의 데이터를 병렬로 처리할 수가 있다. 산출논리 연산 유니트, 또는 간단히 연산유니트라고도 부른다.

S0 ~ S5까지의 값에 따라 연산을 하며, 오른쪽은 ALU 회로의 구조이다.

◎ Booth
부스 알고리즘은 와 의 값을 이용하여 연산하는 방법으로 state를 3가지로 나누어놓았다.

참고 자료

없음

이 자료와 함께 구매한 자료

*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU 9페이지
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. ... 산술연산과 논리연산을 하는 유닛이다.* 산술연산 회로- 전가산기와 멀티플렉서로 ... 하는 언어로 초보자도 쉽게 회로 설계를 할수 있는 IEEE 표준언어
  • 한글파일 디지털시스템설계실습 전감산기 결과보고서 4페이지
    전감산기는 3비트에 대해 산술 뺄셈을 실행하는 조합논리회로이다. ... ① 논리조합회로이용 ② if~then~elsif~end if형식 2. ... 이용하여 설계하였다.
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X 4페이지
    -verilog 기초연산연산자는 산술 연산자, 관계 연산자, 논리 연산자 ... -Test bench test bench 란 VHDL을 이용하여 서술한 하드웨어의 ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한
  • 한글파일 디시설 - 전가산기, 전감산기 설계 9페이지
    없이 산술 연산을 통해 입출력 관계를 표현함으로써 회로를 구현하니 디지털 ... 동작 표현에 의한 설계에서는 진리표를 작성하는 과정 없이 산술 연산을 통해 ... 그러나 VHDL을 이용해 하드웨어를 설계할 때의 가장 큰 장점은 회로 동작을
  • 한글파일 디지털시스템실험 2주차 예비보고서 4페이지
    연산자 Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, ... HDL의 주요한 사용은 설계자가 설계회로를 제작하기 전에 회로의 동작여부를 ... ModelSim 소프트웨어를 이용한 시뮬레이션 1.
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 산술연산회로설계 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업