• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 361-380 / 513건

  • Adiabatic Gas Law 예비 보고서
    의 지시자 스위치를 설정한다.만일 전지를 쓴다면, 기구를 사용하지 않을 때 전원을 반드시 꺼야 한다.[ 신호 전선을 연결 ]DIN-plug-to-mini-phone-plug 케이블 ... 의 하나를 온도 감지기(전자 상자의 뒤에 위치)에 대한 출력 잭에 연결한다.부피 감지기에 대한 신호 전선(선형 퍼텐셜 분할기)은 항상 원통의 토대에 부착되어야 한다.주의 : 공급 ... 하기 위해 선택된 위치에 둔다. 최대 압축 비율이라고 해서 최상의결과를 주는 것은 아니다.[ TD-8568를 PASCO Series 6500 Computer Interface
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,500원 | 등록일 2009.03.30
  • PSpice 사용법
    Simulation ManagerPspice 시뮬레이션 관리 프로그램Pspice Stimulus Editor사용자가 입력신호를 생성하기 위한 프로그램3. Pspice를 이용한 회로 ... : dc offset voltage (일반적으로 0)ㆍVAMPL : ac peak amplitude (전압 피크치)ㆍFREQ : frequency (주파수)ㆍTD : time delay ... ㆍDF : dampling factorㆍPHASE : 위상② VPULSE 전원 파라미터ㆍV1 : 초기값 ㆍV2 : 펄스 전압ㆍTD : 지연 시간ㆍTR : rise time (증가
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 2,000원 | 등록일 2008.10.14
  • xilinx를 이용한 ROM, RAM설계
    : type 배열이름 is array (데이터형 range 데이터 범위) of std_logic; - 예 type Word is array (15 downto 0) of Bit; (제한 ... onstant name : type := value; - 신호 : signal name : type [범위] [:= 초기값]; - 변수 : variable name : type
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,500원 | 등록일 2010.06.24
  • [정보통신]3세대 이동통신과 중국
    3세대 이동통신 세계 표준과 중국- 중국이 3세대 이동통신 방식으로 자체 개발 중인 TD-SCDMA (Time Division-Synchronous Code Division ... 이동통신 분야에서 중국 진출에 비상이 걸렸다. 중국 정부가 3세대 이동통신 기술표준으로 자국이 개발에 나서고 있는 시분할 동기식 코드분할다중접속(TD-SCDMA) 기술을 지원 ... 며, TD-SCDMA 방식은 국내 통신 장비업체들이 그동안 기술 개발에 주력해 온 3세대 방식과는 차이가 있어 국내 업체의 중국 진출에도 차질을 빚게 될 것으로 보인다.제 3세대 이동
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2006.11.13
  • 라인트레이서를 이용한 화물 승하차 시스템
    하위해서는 그림과 같이 가운데 부분에 라인이 걸쳐저 있어야 한다. 양쪽의 센서에서 신호가 계속 들어오고 가운데 센서에 신호가 없는 상태에서 전진하게 된다. 센서의 감지 상태는 0 ... 이닥일 때와 같은 신호를 만들어 낸다는 것을 의미한다.그림 5. 바닥이 없을 때III. 라인트레이서 설계 및 제작1. 시스템 구성도의 설계1) 라인트레이서의 설계프로젝트로 설계 ... 도록 한다.3) 제작 모습IV. SYSTEM CORDING1. 라인트레이서의 기본동작 코딩//변수설정DIM S AS BYTE //수광 적외선 센서 신호 값을 입력받기 위한 변수DIM
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 3,000원 | 등록일 2008.11.27
  • 학습지도안-정보기기운용기능사
    : Analog Data전송, PSTN V.24X계열 : Digital Data전송, PSDN X.24 X.25RS-232C V.24 ( EIA에서 개발 )2번 (TD) : 송신 ... (Multiplexor) : 다수의 신호를 하나의 신호로 또는 하나의 신호를 다수로FDM (주파수분할) : 병렬 변환 방식TDM (시분할) : 직렬 변환 방식역 다중화기 : 두개 ... (Concentrator): 다수의 신호를 다수의 신호로라인교환 (Line Switching)전문교환 (Message Switching): 단말기에서 질문하면 읍답하는 교환방식패킷교환 (Packet
    Non-Ai HUMAN
    | 시험자료 | 62페이지 | 4,000원 | 등록일 2010.01.07
  • 휴대인터넷기술과 관련장치 i-BURST
    에서의 신호 대 잡음비에 따라 각 부반송파에 대한 데이터 전송률을 적응적으로 조절하여 전송용량을 크게 향상시킬 수 있습니다 . 또한 협대역 간섭이 일부 부반송파에만 영향을 주기 때문 ... 의 있는 반면 특정한 부채널의 감쇄가 심할 경우 그 부채널로 전송된 신호는 복원할 수 없게 됩니다 . 이를 방지하기 위해서는 오류정정 부호를 사용하여 문제를 해결할 수 있 ... 을 내장하여 Mobile IP 기술의 완성도를 높임으로써 기지국간 핸드오버 기능을 최적화 등 현재까지 가장 앞선 기술을 선보이고 있습니다 .Ripwave Ripwave 는 TD
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 2,000원 | 등록일 2010.08.10
  • WiBro와 Wi-Fi의 현재와 미래
    세대3GPP 계열UMTS(UTRAN)·WCDMA-FDD·WCDMA-TDD·UTRA-TDD LCR (TD-SCDMA)3GPP2 계열CDMA2000 1xEV-DO (IS-856)3세대 ... 에 AP가 많이 설치되어 있어야한다. 그렇다고 AP를 무분별하게 설치하면 근처의 AP전파가 간섭현상을 일으켜 되려 무선인터넷 신호가 약해지고 속도가 느려지는 등의 현상이 발생
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2011.11.30
  • pspice 의 사용법에 대한 예비보고서
    되는 신호에 대한 버스라인 형성.Place junction : 배선과 배선의 접속 점 표시.Place bus entry : 버스와 일반 wire와의 연결 부분 지정.Place power ... : 회로도에 전원신호 연결.Place ground : 회로도에 접지신호 연결.Place hierarchical block : 회로도에 계층구조의 블록 설정.Place port ... : damping factorPHASE=0: phase- Transient analysis에서 사용- 일반적인 교류 전압원으로 많이 사용.- Default로 DF = 0, TD = 0
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,000원 | 등록일 2009.04.15
  • [컴퓨터 LOGIC]VHDL을 이용한 실습
    -bit(A, B)의 신호를 진리표로 나타내기엔 너무 많은 공간이 필요하다고 생각된다. 그러므로 단순히 자료흐름 적 방법을 하기보다는 특징에 맞게 변형을 약간 주어서 출력 값에 알 ... 맞는 모든 값들을 AND, OR, NOT게이트의 특성에 맞게 비교하여 출력들을 결정해주었다.*Source Code*library ieee;use ieee.std_logic_1164 ... .all;entity Choi isport(a, b : in std_logic_vector(3 downto 0);agtb, altb : out std_logic);end choi;architecture data_flow of choi isbeginagtb
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2005.11.17
  • [압력제어]압력제어실험
    상수(kp): 적분제어 시간(TI): 미분제어 시간(TD)? 디지털형 제어기 출력: 비례제어 상수: 오차(sp - pv): 샘플링 주기(dt): 적분 제어 시간: 미분 제어 시간 ... 초기 조건 :,? 제어 출력치 :(밸브 열림%)(초기 값은 30%)(3) 실험 방법실행화면이 열리는지 확인 후 화면에서 번호를 실행하여 sp, kp, TD, TI값을 변화시켜 측정 ... 을 작게 하면 공정이 빨라지고 큰 오버 슛이 생기며 진동이 지속되게 하는데 영향을 주고, 잔류 편차가 없다. TD값은 미분제어 시간으로 공정의 차수를 변화시키지 않고, 1차 공정
    Non-Ai HUMAN
    | 리포트 | 47페이지 | 1,000원 | 등록일 2006.07.29 | 수정일 2025.10.19
  • 스키의 모든것 (유래, 역사, 규칙, 시설, 심판, 반칙, 용품)
    권대 회는 30초 간격 1인 출발 방식이 의무적이다. TD는 출발시간의 간격을 조정할 수 있 다.출발원이 출발 신호를 주기까지 선수는 출발선 뒤에 양발을 정지시킨채 있어야 하며 폴 ... 가 경기에 불참하는 경우 TD는 그의 보고서에 그 선수의 이름과 가능하면 결장사유를 명기하여야 한다.모든 참가국의 대표는 추첨에 초대 되어야 한다.주위 여건의 악화로 최소한 하루 ... 하게 된다.?출발방법일반 국제대회는 통상 30초 간격의 1인 출발이 적용되며 다수의 출발도 가능하다.TD는 2인 출발 또는 1분간격 출발을 허용할 수 있다. 동계올림픽과 세계선수
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 1,500원 | 등록일 2008.10.31
  • 남성복, 남성정장,남성복시장
    TD · 어덜트 캐주얼 · 어번 캐주얼 성장 5. 남성비중 높아진 스타일리시 캐주얼 남성시장 진입 6. TD · 프리미엄라인 · 어덜트 →시니어 마켓 활황 7. 남성복 비즈니스 ... 이 오르고 원자재 가격이 상승하면서 수입 시장에도 적신호가 켜졌다 . 고환율이 지속되면 가격 인상이 불가피함에 따라 소비자 이탈에 까지 이어져 악순환이 예상되기 때문이
    Non-Ai HUMAN
    | 리포트 | 51페이지 | 3,000원 | 등록일 2009.06.28
  • 제어계측공학과 졸업작품『라인트레이서』
    )RXID= 통신 입력 신호 , TXD=통신 출력 신호/INTO=외부 인터럽트 입력 0, /INT1=외부 인터럽트 입력1T0=타이머0 외부 입력, T1=타이머1 외부 입력/WR=외부 ... 데이터 메모리 쓰기 신호, /RD=외부 데이터 메모리 읽기 신호/EAExternal Access, 내부 ROM사용 여부 결정(0=사용 안함, 1=사용)RESET리세트 입력 신호 ... Enable, 외부 프로그램 메모리 읽기 신호ALEAddress Latch Enable, 하위 어드레스(A0~A7) 래치 신호2. 8051 내부 구성도1. 내부레지스터 기능을 살펴보
    Non-Ai HUMAN
    | 논문 | 15페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • [휴대폰진화]휴대폰의 진화
    은 목소리를 마이크에 전달하고 마이크는 연속적인 소리를 전기신호로 바꾸어 상대방에게 소리의 변화 그대로를 전달하는 방식입니다. 그러나 통신할 때 자신의 신호와 다른 방해신호가 동일 ... IS-95로 표준화 되었고 1996년 우리나라에서 첫 상용 서비스를 시작했습니다.? 디지털 이동통신서비스의 특징?-디지털 이동통신은 연속적인 아날로그의 음성을 쪼개어 디지털 신호 ... 로 바꾸거나 원래 디지털 신호인 데이터를 교환하는 통신방식입니다. 디지털은 0과 1만 존재하기 때문에 자신의 신호가 다른 신호와 섞이더라도 외부 방해를 제거하고 신호를 정확하게 재
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2008.04.03
  • VHDL 기초 이론 내용요약
    적 또는 수학적인 알고리즘을 사용해서 시스템의 기능을 기 술한 것-빠른 시뮬레이션 결과를 얻는다.2)자료 흐름적 모델링-신호의 경로를 설계하는 표현방법이다.-동작적 모델링 표현 방법 ... 화 한 것으로 모델링을 달리하며 나타낼 수 있다. 밑의 예시는 OR gate의 모델링이다.동작적 모델링자료흐름적 모델링library ieee;use ieee.std_logic ... _1164.all;--entity declarationentity OR_GATE isport(A,B:in std_logic;Y:out std_logic);end OR_GATE
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,000원 | 등록일 2008.12.29
  • W-CDMA[통신 세대별 분류(1세대,2세대,2.5세대,3세대,3.5세대)]
    800Mhz 대역에서 사용W-CDMA**W-CDMA방송파신호의 주파수가 변조신호의 전압준위변화에 따라가도록 변조*W-CDMA이진 데이터를 하나의 반송파로 합치는 단순한 주파수 ... -CDMAIS-95(Interim Standard 95) 규격을 따름 대역 확산 방식을 사용 원래의 신호보다 훨씬 넓은 대역으로 정보 확산시켜 전송 9600bps의 신호 → 1.25Mbps ... 로 확산(원신호에 디지털 코드 적용) 수신단에서는 1.25Mbps로 확산된 신호에 다시 송신단에서 적용하였던 똑같은 디지털 코드를 적용하여 9600bps 원신호 복구 신호를 넓
    Non-Ai HUMAN
    | 리포트 | 38페이지 | 3,000원 | 등록일 2008.03.30
  • [의용전자실험] 실험2. RC 회로의 시뮬레이션 [결과보고서]
    패러미터의 변동에 의해 각 노드의 전압이 어떤 영향을 받 는지에 대하여 조사할 수 있다.3. stimulus editor :=>사용자가 입력신호를 생성하기 위한 프로그램 ... 어야만 했기 때문에 실험2를 읽어보고 제가 모르는 것이 있으면 조사를 우선 적으로 시작하였습니다. 이번 실험2를 통해서 orcad프로그램에 구형파와 삼각파등 많은 교류신호를 만들 ... 어 낼 수 있다는 것을 알게 되었습니다. 구형파를 나타내기 위해서는 freq(주파수)와 peak-to-peak값이 있어야 했습니다. 그리고 처음에 TR, TD, TF의 값을 지정
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2009.11.09
  • 무인항공기 비행제어시스템
    으로 근접비행이 가능하므로 상대적으로 미약한 신호도 포착 가능한 장점이 있다. 최근에는 신호정보 획득을 위한 정찰이라는 관점에서 정찰용에 포함시키기도 한다.다. 공격용 무인항공기 ... 측정 시스템의 오차도 비행하면서 보정을 할 수가 있다.5-2. 시스템의 시간응답 특성(1) 지연시간 (Delay time, td) : 출력이 정상상태 값의 0%~50%에 도달
    Non-Ai HUMAN
    | 리포트 | 97페이지 | 5,000원 | 등록일 2010.07.05
  • [모터] 스텝 모터 의 기능 및 장단점 설계 실습(VHDL)
    를 회전, 높은 정확도로 정지. 디지털 신호로 제어하므로 컴 퓨터 제어에 용이장 점디지털신호로 직접 오픈루프제어를 할 수 있고, 시스템전체 가 간단하다. 펄스신호의 주파수에 비례한 회전 ... 에서 회전자의 안정점은 고정자의 사이에 있게 된다. 이 방법은 1상여자에 비해 2배의 입력신호를 필요로하게 되어 효율은 저하되지만 damping특성이 양호하므로 가장널리 이용되는 방식이 ... 。의 모터를 1-2상여자법으로 구동시키면 1.8。의 스텝각을 얻을수 있는 것이다. 이 여자법은 진동과 소음을 줄일 수 있으나 스텝의 정확도는 떨어진다.드라이버와 소스드라이버TD
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 1,000원 | 등록일 2005.07.19
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:40 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감