• AI글쓰기 2.1 업데이트
  • 통합검색(476)
  • 리포트(444)
  • 자기소개서(29)
  • 시험자료(3)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 vhdl" 검색결과 281-300 / 476건

  • 논리회로, 디지털공학, 회로 설계
    2010년 논리회로 설계보고서제 출 자 이 름제 출 자 학 번제 출 자 분 반담 당 교 수마 감 일 시제 출 일 시이 메 일 주 소점 수/ (점수/만점)목 차⑴ 시뮬레이터 요약 ... 도구이고 1988년 벤 코헨에 의해 설립되었다.제공하는 소자로는 74시리즈, 입출력 및 전원 관련 소자, 아날로그 소자, 디지털 게이트, 조합회로, 스파이스 회로, VHDL 등 ... 을 사용할 수 있으며, 사용하기가 간단한데 비해 기능이 다양하다.특히 실제로 사용하기 전에 회로를 시뮬레이션 해 볼 수 있어 유용하다.⑵ 설계 ①:기본 논리게이트 설계 및 구현① NOT
    Non-Ai HUMAN
    | 리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • 볼링스코어 레포트
    만을 수행하기 때문에 VHDL로 코딩하여 실제 논리회로 소자를 만들어 이식하였을 때, 주요기능상의 중대한 결점이 발생하지 않는 이상, 유지 보수의 필요성이 없기 때문에 VHDL ... 로 프로그래밍 하기 적합하다고 판단하였다.이 프로젝트의 목표는 논리회로VHDL을 이용하여 설계하여 실제 볼링장에서 사용할 수 있도록 하는 것으로 실제 논리회로 제작 전 Test ... 과 목 : 논리회로설계실험과 제 명 : Term Project(볼링점수카운터설계)담당교수 : 조준동 교수님학 과 : 전자전기공학전공제 출 일 : 2011. 06. 21 (화
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 5,000원 | 등록일 2011.08.26
  • [VHDL]실험12. 16진 counter
    type)과 하강 에지 트리거형(negative edge triggered type)이 있다.VHDL 표현에서 latch 회로 같은 경우는 지금까지의 조합논리회로에서처럼 이용 ... 년도?학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목1216진 counter실험 일자제출자 이름제출자 학번팀원 이름팀원 학번*실험 목적(1) 동기 reset을 포함 ... 하면 된다. 이와는 달리 VHDL표현에서 에지 트리거형 플립플롭은 clock 의 동작을 표현하여야 한다. 그러므로 다음과 같이 clock을 정의한 구문을 이용하게 된다.?Clock 정의
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 아주대학교 논리회로 설계 과제 Key Pad 자물쇠
    & 설계 방향1) 비밀번호를 입력하여 문을 열어야 하므로 비밀번호 저장에 관하여 생각해 보았다. vhdl 책을 구하여 저장기능 리 있는 기억소자 회로에 대하여 조사해 보
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,500원 | 등록일 2013.11.28
  • VHDL을 이용한 산술연산회로설계
    VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu ... 로 나뉘어졌다. Alu는 덧셈, 뺄셈, 증가, 감소 그리고 shift를 행하게 하는 회로였다. State를 6비트로 두고, S0 ~ S5의 값에 따라 연산을 택하는 방법이 ... · SHIFT 등의 논리연산을 하는 장치. 일반적으로 몇 비트의 데이터를 병렬로 처리할 수가 있다. 산출논리 연산 유니트, 또는 간단히 연산유니트라고도 부른다. S0 ~ S5
    Non-Ai HUMAN
    | 리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • VHDL를 활용한 EE ATM 설계하기
    EE ATM- 디지털논리회로실험 프로젝트 최종 보고서 ?2012 . 12. 038 조성 명신준식, 공경보소 속전자공학과학 년2학년학 번20091522, 20091468담 당 교 ... 이 만들어지려면 전자공학의 디지털 논리 설계가 꼭 들어가야 하는데, 이는 시스템 설계에 매우 중요한 부분을 차지한다. 그래서 우리는 무인시스템에 디지털 논리설계를 인용해 보고자 ATM ... (Automatic Teller Machine)을 설계하고자 한다. 이를 통해 디지털 회로 설계의 실생활에서의 활용을 경험해보고 개선사항을 제시함으로써 한 단계 더 발전한 ATM
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • Quartus
    이런 문서를 회로 디자인 과정에서 시뮬레이션에 사용하게 되었고, VHDL 파일을 읽어서 논리 합성을 한 다음 실제 회로 형태를 출력하는 기능을 덧붙이게 되었다. 오늘날에는 디지털 ... 0. QuartusⅡALTERA사는 논리 회로의 설계와 시뮬레이션 기능을 지닌, 소프트웨어군 QuartusⅡ를 제공한다. QuartusⅡ는 하드웨어 기술 언어 설계의 분석과 합성 ... . VHDLVHDL(VHSIC Hardware Description Language)은 디지털 회로 설계의 자동화에 사용하는 하드웨어 기술 언어이다. VHDL은 원래 미국 국방부에서 주문형 집
    Non-Ai HUMAN
    | 리포트 | 1페이지 | 1,000원 | 등록일 2010.06.18
  • 논리회로설계실험 메모리 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 3,000원 | 등록일 2010.12.22
  • VHDL을 이용한 Digital clock설계
    ※ Sequence of Mode_1: Clock ➡ Date ➡ Timer ➡ Alram① Clock: s_1~s_2 : Express times_3~s_4 : Express minutes_5~s_6 : Express secMode2(setting): Push the..
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 1,000원 | 등록일 2010.12.13
  • 논리회로설계실험 스텝모터 제어기의 설계
    1.VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • [VHDL]실험14. 주파수 분주 카운터
    년도?학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목14주파수 분주 카운터실험 일자제출자 이름제출자 학번팀원 이름팀원 학번*실험 목적(1) 주파수 분주를 위한 카운터 ... 의 VHDL 표현방법을 학습한다.Chapter 1. 관련 이론(Theoretical Background)먼저 분주기라는 것에 대해 알아보면 clock 분주기라는 것은 A Hz ... 분주 한다라고 하는것은?입력클럭의 10주기 길이가 출력클럭의 1주기가 된다는 것을 의미한다.)이것을 VHDL소스로 구현해 보면 다음과 같
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2011.06.08
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    Vertex4 SoC Master3 / 송태훈 / 홍릉과학 ( Altera Quartus 2 와 Modelsim 을 사용한 ) Verilog HDL 논리회로 설계 / 이승호 / 한티 ... 한 소스 최종 검토 및 시뮬레이션이론적배경 데이터에 대하여 실제적으로 산술 및 논리 연산을 수행하여 2 진수를 저장하는 역할을 하는 unit. ALU 란 ? Control Unit ... Logic Unit Complementer Shift Register Status Register 사칙연산을 수행 And, OR 등 논리연산 수행 2 진 데이터를 2 의 보수처리 비트
    Non-Ai HUMAN
    | 리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • 디지털논리회로 설계 프로젝트 보고서
    디지털논리회로 설계 프로젝트 보고서프로젝트의 제목 : 디지털 시계 설계설계자(팀원 전체) 성명 :제출일 : 2008년 12월 20일국문요약 : 디지털 논리 회로 시간에 배웠 ... 던 논리 회로와 조합 회로에 대한 지식을 바탕으로 디지털시계를 Quartus Ⅱ를 이용해서 만들어 보았다. 주요 구성은 Clock Base, Time Setting, Am Pm 표시 ... asynchronous circuit. Each clock's units entered Each unit's Seven Segments.Ⅰ 설계와 관련된 이론적 배경·디지털 논리회로
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • [5주차] flip flop
    Multiflex(Mux) 설계이 름 :실 습 조 : 7조실습날짜 :1. Purpose▶ Latch와 Flip-Flop의 차이점을 알고, VHDL을 이용해 간단한 Flip ... 로 천이하는 논리에 대해 이해하도록 한다.▶ Flip-Flop을 이용한 Shift Register의 원리에 대해 학습하고 다양한 Shift Register를 설계하는 방법에 대해 ... 에 판독하여 등록하는 동작,또는 그 회로.▶ Asynchronous(비동기) 상태이며, 입력에 의해 출력이 변화하는 기억소자이다.1-2. Flip-Flop▶ 2개의 안정 상태가 있을 때
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 논리회로설계실험 프로젝트_digital door rock
    과 목 : 논리회로설계실험과 제 명 : 프로젝트 결과보고서(P_6조)담당교수 : 조준동 교수님학 과 : 전자전기공학과학 년 : 3학년학 번 : 2006312687 ... , 2006312117이 름 : 서 영 진, 김 현 기학 번 : 2007310623, 2007313531이 름 : 정 광 수, 손 계 익제 출 일 : 2011. 6. 2111_1학기_논리회로설계 ... 있는 디지털 도어록은 FSM 이론과 카운터를 이용해 설계할 수 있는 대표적인 회로의 하나이다. 이와 같은 디지털 도어록을 설계하기 위해서 기본적으로 필요한 개념이론들은 이 다음
    Non-Ai HUMAN
    | 리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • 논리회로설계실험 비동기 카운터 설계
    1. 비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport(CLK, RST : in std_logic;CNT_out: buffer std_..
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 예비보고서-Exp 8. Co-Simulation & Co-Emulation Using FPGA
    하는 핵심 기능을 포함한다.설계자 의도를 반영해 회로를 설계하는 논리회로형 반도체(FPGA:field-programmable gate array)에 비해 값은 싸지만 상품화하는 데 오랜 ... description language의 줄임말로써 FPGA에서 엔트리를 디자인 하는데 쓰이는 언어를 말한다. 다음은 wikipedia에서 찾은 VHDL의 한 예이다. 이 과정은 디지털 회로 ... ,위키백과)① FPGAFPGA(영어: field programmable gate array, 현장 프로그래머블 게이트 어레이)은 프로그래머블 논리 요소와 프로그래밍가능 내부선이 포함
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2010.10.09
  • VHDL기초강의
    Process 문 If 문 Case 문 Loop 문 병행 처리문 VHDL의 구조적(structural) 표현 방식 Component 문 Generate 문*목차조합 회로(c ... VHDL 기초 강의*목차VHDL 이란? VHDL의 기본 설계 VHDL의 동작적(behavioral) 표현방식 객체(Object)와 연산자(Operator) 순차 처리문 ... ombinational circuit) 설계 및 실습 Adder Multiplexer Decoder 순차 회로(sequential circuit) 설계 및 실습 F/F, Latch Shift
    Non-Ai HUMAN
    | 리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • VHDL 계산기 소스코드 및 보고서
    하기 빼기가 가능한 Calculator를 만드는것이 이번실험의 주 목적이다.2. Background위의 회로가 이번실험에 사용하게될 회로로써 가장 왼쪽 7세그먼트 아랫부분에 있는것 ... & Results1) VHDL source주석문은 vhdl문 내부에서 한글로 선언시 에러 및 글자 깨짐현상이 있어서 서툴지만 영어로 작성하였습니다.calculator.vhd -LCD모듈과 계산
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • [6주차] Memory
    과 목 : 논리회로설계실험과 제 명 : RAM 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 4차 실습 과제7조 ... (Read only Memory)의 작동을 이해하고 VHDL을 이용하여 Behavioral level에서 설계를 해본다.1. Back Ground. ROM (Read Only Memory ... 에 따라서 할당되어 있는 Data값을 출력해주게 된다. 이 경우 각 Address에 해당하는 Data값은 이미 지정이 되어있으며, VHDL 프로그래밍 과정에서는 constant
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 11월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감