• AI글쓰기 2.1 업데이트
  • 통합검색(476)
  • 리포트(444)
  • 자기소개서(29)
  • 시험자료(3)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 vhdl" 검색결과 201-220 / 476건

  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • Lab#03 Verilog HDL
    this labVerilog HDL 문법에 대해 익히고, 이를 이용하여 논리게이트를 설계한다.나. Essential Backgrounds1) Verilog HDL ... introduction가) HDL기반 설계의 장점(1) 설계오류 수정시간 및 회로변경 시간 단축에 따른 설계시간의 단축(2) 최적화, 상위수준 설계를 통한 설계의 질 향상(3) 특정 설계기술이나 공정 ... 으며, 논리게이트나 모듈사이의 물리적인 연결을 나타내기 위해 사용된다. 구동자가 연결되지 않으면 high-impedance가 되며, 연속할당문이나, 게이트프리미티브 같은 구동자에의해 연속
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 한화 테크윈 합격 자소서
    는 방법과 이 그래프를 보고 안정도와 성능을 알아내는 방법을 배우고 있습니다.3. 가장 기억에 남는 전공 관련 프로젝트는 논리회로설계 실험에서 실시한 프로젝트였습니다. 이 수업 ... 코드를 완성 시켰습니다. 논리회로설계실험을 수강하면서 복습, 예습을 열심히 해서 중간, 기말 시험, 프로젝트를 통합하여 반에서 1등을 하게 되었습니다.4. 제 인생의 롤모델 ... 에서는 VHDL의 기초 문법과 그 문법을 활용하는 방법을 주로 배우는 수업 이였습니다. 학기 마지막에 실시한 이 프로젝트는 수업에서 배운 문법을 이용하여 전자시계를 VHDL 프로그램
    Non-Ai HUMAN
    | 자기소개서 | 2페이지 | 3,000원 | 등록일 2016.11.04
  • 01-논리회로설계실험-예비보고서
    반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 3. 11논리회로설계 실험 예비보고서 #1실험 1. 기본 게이트 설계1. 실험 목표VHDL의 기본개념 ... 과 목 : 논리회로설계실험과 제 명 : #1 기본게이트 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A ... 는 PAL 개념의 확장이다. 일반적으로, CPLD는 프로그램 가능한 연결선 행렬 구조와 더불어 PAL과 같은 로직 블록으로 구성된 IC이다. 보통 CPLD는 500~10,000개의 논리
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 기본 게이트 설계 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 기본 게이트 설계담당교수 : 국태용 교수님담당조교 : 김태경 조교님학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 ... : 2014. 3. 27논리회로설계 실험 결과보고서 #1실험 1. 기본 게이트 설계1. 실험 목표AND, NOT, NAND, OR, XOR, NOR 게이트의 기호와 기본적인 동작 특성 ... Form5) 결과 분석- 예비보고서에서 수기로 작성했던 AND 게이트 코드로 VHDL에서 작성하여 시뮬레이션을 돌려본 결과 입력을 2개로 갖는 AND 게이트의 성질을 갖는 결과
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 판매자 표지 자료 표지
    [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    논리회로실험 A반결과8장보수와 병렬 가?감산기5조이름학번실험일15.04.07제출일15.04.14전원전압 4.89V, SN74LS83실험 8.3 2의 보수를 이용한 4비트 2진 가 ... 이 일정한 저항값을 같지 않았기에 측정한 실험마다 모두 다른 결과 값을 갖게 되었다.- 참고문헌 -디지털 논리실험 본 교재 제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html(이미지출처) ... ?감산기다음과 같이 4비트 병렬 2진 가?감산기 회로를 결선하고, 출력 값을 측정하여 표를 완성하라.입력출력(SW=X)출력(SW=Y)A _{4}A _{3}A _{2}A _{1}C
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    watch가 잘 작동함을 확인할 수 있다.ReferenceDatasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.음계 주파수 대역, 천안공업대학, 윤덕용.
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    .Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... .실험에 필요한 배경 지식1-bit Full SubtractorSubtractor는 Input A and B를 빼주는 논리 회로이다. Subtractor는 Input A - Input
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • Verilog HDL 문법 자료 (A+받은 자료 입니다)
    )모듈_이름은 설게하고자 하는 논리회로를 잘 표현하는 이름을 사용자가 부여한다. 2)endmodule 다음에는 세미콜론을 붙이지 않는다.Verilog에 의한 설계 단계 예1 단계2 ... : 2입력 AND게이트의 입출력 선언ABYinput A,B; output Y;Verilog에 의한 설계 단계 예1 단계3: 2입력 AND게이트의 논리회로 표현ABYand sample ... (a+b) A b ?:Verilog 논리회로 표현 시뮬레이션 Testbench를 이용해 검증한다. • 회로에 실제 입력 대신 시뮬레이션 파형 입력 • 최상위 계층의 module
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 3,000원 | 등록일 2015.06.22 | 수정일 2015.06.23
  • 논리회로실험 설계 보고서
    구조를 사용할 수 있다.4. 동시성, 타이밍 및 클럭킹은 모두 모델화될 수 있다. VHDL은 동기식뿐 아니라 비 동기식 순차 회로 구조도 처리한다.5. 한 설계에 대한 논리 연산 ... 1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 ... 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2012. 12. 17과목명: 논리회로실험 설계
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다. 7-s ... 1. IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증
    Non-Ai HUMAN
    | 리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 결과보고서 #5
    과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 15논리회로설계 실험 결과보고서 #5실험 5.조합회로 설계1. 실험 ... 목표멀티플렉서와 산술 논리 연산 장치의 기본개념에 대해 이해한다. 이것들을 VHDL의 Subprogram 문법인 function과 procedure를 이용해 설계할 수 있
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 2017년 상반기 LG CNS SI/SM 직무 합격 자기소개서 자소서
    ’프로그래밍에 대한 관심은 학부 2학년에 논리회로설계 과목을 수강할 때, VHDL을 사용하여 다양한 실습을 하면서 시작되었습니다. 7 segment를 이용해 다양한 디스플레이를 하
    Non-Ai HUMAN
    | 자기소개서 | 2페이지 | 3,000원 | 등록일 2017.07.19
  • VHDL를 이용한 Memory 설계
    과 목 : 논리회로설계실험과 제 명 : Memory담당교수 : 김 종 태학 과 : 전자전기공학학 년 : 3 학 년학 번 :이 름 :제 출 일 : 08. 05. 07 ... : in STD_LOGIC_VECTOR (3 downto 0);do : out STD_LOGIC_VECTOR (3 downto 0));end raminfr;( VHDL Modual
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2010.05.27
  • 논리회로설계실험 ALUkit (결과보고서)
    논리 연산 장치(기구).[네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설)위의 ALU를 통하여의 연산을 수행하는 회로를 설계한다.이렇게 수행 ... 을 ALU라고 한다. 이것은 산술연산과 논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 ... you solved먼저 ALU의 경우는 이전 실험에서 설계하였던 회로를 약간 변경하여 사용을 하였다. 이 때 상태별 output은 다음과 같다.그리고 입력 operand는와 같
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • Vending Machine 설계
    과 목 명 : 응용논리회로설계교 수 님 : 조 용 범 교수님학??? 과 : 전자정보통신공학과학 번 :학 년 : 3 학 년성??? 명 :제출일자 : 2005. 11. 15 ... Vending MachineVending Machine? VHDL source codinglibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 10,000원 | 등록일 2009.05.27
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    error)Inlab 1. And gate 프로그래밍 : 이 실험에서는 먼저 참고 강의자료를 보면서 Prelab을 통해서 미리 설계해 본 논리회로를 가져와서 장비에 연결 후 작동 ... disappearsEnter text description - VHDL (.vhd), Verilog (.v)Verilog & VHDLVHDL- VHSIC Hardware ... HDLCan create Verilog (.v) & VHDL design files (.vhd) with the ISE text editor or any standard text
    Non-Ai HUMAN
    | 리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    Counter, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.State Machine, Wikipedia.
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 11월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감