RAM (Random Access Memory)설계 ? 1. 임의입력 VHDL 파일 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ram is port( CE,RD,W..
FSM 설계 ? VHDL파일 library ieee; use ieee.std_logic_1164.all; entity vend_ma is port(clk, reset, coin_in, coffee_sel, coffee_serve: in std_logic; state_o..
7segment 설계 ? VHDL파일 library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity seg77 is port (C..
1. HalfAdder VHDL파일 library ieee; use ieee.std_logic_1164.all; entity half_adder is port(in_x, in_y: in std_logic; out_s, out_c: out std_logic ); end ..
이용하여 3-to-8 코드를 작성하고 그에 맞는 Testbench를 작성하였다. 4. 3-to-8 코드를 시뮬레이션을 돌리고 올바르게 작동하는지 체크하였다. 5. ... 실험결과 1. 2-to-4 코드와 testbench를 작성하였다. 2. 2-to-4 코드를 simulation을 돌려서 코드가 잘 작동하는지 확인하였다. 3. 2-to-4 코드 모듈을 ... 처음에 BCD to 7-segment converter 코드를 작성하였을 때 Modelsim에 실행을 해보면 변화가 하나도 없었는데 나중에 Testbench에 input을 reg[3
1. 동작설명이번 프로젝트로 술게임 중 하나인 Up-Down 게임을 만들어보았다. 기능으로는 벌칙 숫자를 정해놓고 숫자를 하나씩 입력하면서 7segment LED에 나오는 UP과 –- 표시를 보며 벌칙숫자에 접근한다. 벌칙숫자와 입력숫자가 같아진다면 7 segment ..
비교기설계 1. 1비트 비교기 VHDL 파일 library ieee; use ieee.std_logic_1164.all; entity onebit_comparator is port(A, B: in std_logic; EQ: out std_logic ); end one..
11주차 결과 레포트 - 8-bit Register & 8-bit Shift Register - 과목명 HDL응용설계 담당교수 제출일 전공 학번 이름 Module 코드 및 testbench ... 코드 Module 코드 8-bit Register D_FF 하위 모듈 8-bit Shift Register testbench 코드 8-bit Register 8-bit Shift
위의 코드는 이러한 과정을 나타낸 것이다. 3.4) TestbenchTestbench 코드는 4:2 Priority Encoder의 input과 output부분, module instantiation ... 마지막으로 설계한 module을 testbench code를 이용하여 Modelsim의 simulation을 이용하여 wave를 확인하였다. ... 마지막으로 구현한 모델링이 정상적으로 작동하는지 Testbench 코드를 직접 짜고, Modelsim의 simulation을 이용하여 출력 파형을 확인함으로써 4:2 priority
Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드 Testbench 코드 작성 테스트벤치 코드에서는 fulladder_4 파일에서 미리 ... Figure SEQ Figure \* ARABIC 3 4bit full adder의 testbench 코드 시뮬레이션 결과 분석 및 고찰 테스트벤치 파일의 시뮬레이션 결과는 다음과
Project Name : LAB01_INTRODUCTION_TESTBENCH / Project Location : C:\DS_2013\LAB01_INTRODUCTION\TESTBENCH ... 왼쪽 Instance 창에서 LAB01_INTRODUCTION_TB를 선택하고 마우스 오른쪽 버튼을 눌러 Add Wave 항목을 선택하여 Wave 창에 TestBench 입, 출력