• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(2,393)
  • 리포트(2,093)
  • 자기소개서(279)
  • 시험자료(9)
  • 논문(5)
  • 서식(3)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 설계 실험" 검색결과 81-100 / 2,393건

  • 07 논리회로설계실험 결과보고서(RoV)
    논리회로설계 실험 결과보고서 #7실험 7. RoV Lab7000 사용법1. 실험 목표RoV-Lab7000이 목표에 맞게 동작하도록 VHDL을 이용하여 설계한다.led와 7 s ... egment로 원하는 결과를 나타내게 한다. RoV-Lab70002. 실험 결과실험 1. 스위치 사용하여 led켜기(1) 스위치-led 진리표 및 핀할당SWled ... *************100111111010000111110110000111110000000111101000000111100000000111100000000 스위치 진리표 핀할당(2) 설계 내용1
    리포트 | 8페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. 실험 목표VHDL을 이용하여 카운터를 설계한다.설계한 카운터를 이용하여 RoV-Lab3000의 led와 7segment ... 실험을 하기 전 작성했던 예비보고서에서 설계했던 존슨카운터를 응용하여 설계하였다.실험의 목표는 클락 주파수가 2Hz인 회로이다. 이를 위해 첫 번째 process 문에서 클락 ... 가 정해진 동작을 수행하도록 한다.2. 실험 결과실험 1. 8비트 비동기식 업카운터 설계(1) 설계 내용1) 소스 코드2) 핀할당3) 7segment 표시결과4) 결과 분석이번
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    논리회로설계 설계 보고서 #21. 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.7segment에 표시되는 스탑워치를 설계 ... 는 규칙이기 때문에, 이 규칙에 맞는 조건을 이용하여 회로설계해야한다.BCD 코드의 특성상 비트 4자리가 0~9 만을 나타낼 수 있기 때문에 입력 시에는 10 이상의 수를 4 ... debouncingSchematic● ex1 => debouncing 회로● clock => stopwatch 몸체2) 핀 할당(2) 설계 방법1) Stopwatch스탑워치 코드
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 논리설계회로실험
    실험제목: 비교기(5장 결과 보고서)1. 예비조사 및 실험 내용의 이해 1.1 비교기란? - 비교기는 입력되는 두 수 A, B의 크기를 비교하여 어느 수가 큰지(또는 같
    리포트 | 8페이지 | 1,000원 | 등록일 2009.04.01
  • 실험1 실험(1) 프로젝트 디지털논리회로 도어락 설계
    실험(1)FINAL PROJECT 보고서소 속학 번이 름담당 교수 / 조교제 출 일 자1. PROJECT 개요한 학기동안 디지털논리회로 과목에서 배운 전반적인 이론들을(기본논리 ... 하면 3이되는 순한 B세그먼트에 전원이 들어오게 된다.LOSIG WORK‘S로 회로도 구현4. 고 찰1학기 동안 디지털논리회로 수업에서 습득한 이론으로 실험수업을 하면서 사용 ... 게이트, 카운터 jk플립플롭, d플립플롭 등을 )을 사용하여 실험했던 관련 이론들을 복합적으로 사용하여 수행하는 프로젝트이다.2. 관련이론 및 사용부품(1) 레귤레이터회로도에 5V
    리포트 | 12페이지 | 2,000원 | 등록일 2014.09.21
  • 05 논리회로설계실험 예비보고서(조합회로)
    논리회로설계 실험 예비보고서 #5실험 5. 조합회로 설계1. 실험 목표비교기, MUX, DEMUX, ALU에 대해서 알아본다.VHDL 문법 중 function과 procedure ... 에 대해 알아보고 이를 이용하여 ALU를 설계해본다.2. 예비 이론(1) 비교기두 이진수의 크기를 비교하는 조합 논리회로로 비교를 통해서 생성되는 결과는 AB, A=B 가 있 ... 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 두 숫자의 산술연산, 논리연산을 계산하는 디지털 회로이다.대부분의 ALU는 다음의 연산을 수행할 수 있다.정수형 산술
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 05 논리회로설계실험 결과보고서(조합회로)
    논리회로설계 실험 결과보고서 #5실험 5. 조합회로 설계1. 실험 목표비교기, MUX, DEMUX, ALU에 대해서 알아본다.VHDL 문법 중 function과 procedure ... 것을 알 수 있다.3. 고찰ALU를 설계해 보았다. ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리 동작을 하는 디지털 회로이다. ... 를 이용하여 ALU를 설계해본다.2. 실험 결과실험 1. 8가지 기능을 가진 ALU 설계- 기능표S2S1S0논리식기능000Y = AA의 전송001Y = A + B가산010Y = A
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    1. 설계 목적- FPGA를 이용하여 Stop Watch를 구현해보는 것이다. Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤 ... 어 그 때 reset 버튼을 누르면 둘 다 1의 값을 가지게 되어 clear 단자가 작동한다.3) 래치 (D Latch): 디지털 논리회로에 있어서 Latch는 하나 이상의 비트 ... 를 저장하기 위한 디지털 논리회로를 말한다. 하나의 데이터 입력과 Clock 입력, 하나의 출력을 가지며 출력 신호 변화는 Clock과 동기되지 않는다. 회로에서 입력이 시작되면 입력
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • [논리회로실험] 실험3. VHDL을 이용한 가산기설계2
    과 목 : 논리회로설계실험과 제 명 : 실험3. VHDL을 이용한가산기설계2(CLA)담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04 ... Adder'를 설계한다. 즉, carry를 따로 계산해서 연산의 속도를 증가시킬 것이다.Design① Describe what your circuit does이번에 설계회로 ... 는 FullAdder의 개수가 많아지면 연산이 오래 걸리게 된다. 이번 실험에서는 'Ripple Carry Adder'에서 생길 수 있는 문제점을 보완한 'Carry Lookahead
    리포트 | 22페이지 | 2,500원 | 등록일 2014.03.22
  • [논리회로실험] 실험2. VHDL을 이용한 가산기설계1
    과 목 : 논리회로설계실험과 제 명 : 실험2. VHDL을 이용한가산기설계1담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04.02 ... 수도 있다.그림 SEQ 그림 \* ARABIC 1 이번 실험에 쓰이는 회로② Describe how you solve주어진 코드를 가지고 설계하는 것이어서 한 ... does이번 회로는 '4bit 전가산기&전감산기'이다. 즉, 1bit 2진수를 3개를 더하는(혹은 빼는) 회로를 4번 반복하는 회로이다.· 1bit 전가산기1bit 2진수 3개를 더
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.22
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. 실험 내용1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단 ... 표시한다.2. 실험 결과1-1) Sourcelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로 실험설계
    논리회로 실험설계1. 설계 목적 : 논리회로 이론을 기초로 하여 자판기를 창의적인 방법으로 설계한다.2. 제품 사양 :· 100원 동전, 500원 동전 입력· 200원 커피 ... 누르지 마세요6. 완성 회로도7. 자체 평가 :반환LED가 들어오는 부분과 초를 맞추기가 힘들어서 생각보다 설계시간이 오래 걸렸다. 좀 더 빨리 했으면 납땜까지 하여 직접 제작을 해 ... 회로는 모두 성공적이었고, 추가설계까지 완성했기 때문에 만족스러웠지만 직접 제작을 해 보지 못한 것과 설계시간이 너무 길었던 점이 아쉽다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.10.15
  • [논리회로설계실험]1bit 비교기 와 4bit 비교기
    1. 실험 내용 1) 1bit 비교기두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로로써 두 입력이 같으면 '1'을 출력하고, 다르면 '0'을 출력하는 회로 ... td_logic ; component comp_1bit -- 이용할 회로 지정 port( a : in std_logic ... ; begin key : comp_1bit -- 지정된 회로에 선을 연결 port map(input_a,input_b,output_eq
    리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 논리회로 설계실험 memory 설계
    Memory 설계1. Introduction1)ROM과 RAM의 특징을 안다.2)RAM(Random Access Memory)를 VHDL로 구현 한다.3)VHDL 문법 중 ... Type declarations에 대해서 안다.2. Problem Statement① Describe what is the problem.Purpose: 메모리를 설계하려면 가장 먼저 ... 데이터를 저장할 수 있는 공간을 만들어야 하는데, 그 공간을 어떻게 만들 수 있는지와 데이터를 메모리에 쓸 때 어떤 문법을 사용하여 쓸 수 있는지에 대해 초점을 맞춰 설계
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 01 논리회로설계실험 예비보고서(And,or gate)
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표VHDL을 이용하여 AND gate와 OR gate를 설계한다.각 게이트를 설계 할 때, 동작적 모델링 ... logic device, 제조 후 사용자가 내부 논리 회로의 구조를 변경할 수 있는 집적 회로)와 같은 기능을 갖는 논리 블록들과 그것을 서로 연결하여주는 스위치, 행렬 등이 칩 내부 ... 에 내장된 소자- FPGA(field programmable gate array)산업 현장에서 엔지니어가 직접 디바이스를 프로그래밍하여 설계회로를 반도체 칩 상에 구현할 수 있
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로실험17 동기식 카운터의 설계
    논리회로실험 결과 보고서실험. 동기식 카운터 설계실험 데이터 및 관찰표17-2 J-K플립 플롭의 천이표현재상태다음상태QcQbQaQcQbQa00 ... xQaQcQb0100x001x111xx10xxKb = Qc Ja = Qc’Qb’ Ka = Qb회로 설계실험결과 정리.- 이 실험을 통해 동기식 카운터의 설계 방법을 배웠다. 현재상태 ... 를 설계 할 수 있었다.이 번 실험에서는 2진 0-8까지의 순차 회로를 구성하였는데 5와 7은 미사용 상태로 돈캐어 처리를 하였다. 돈캐어 처리를 카르노맵에서 적절하게 이용
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 엔코더 설계1. 실험 목표VHDL 코드를 이용해 Decoder, Encoder 비교기를 설계하는 방법을 익힌다.두 비교기 ... 된 디코더의 회로는 다음과 같다. BCD to 7 segment 회로도3. 실험 내용- 실험 1. 3X8 디코더를 설계하시오(1) 진리표입력출력A2A1A0D7D6D5D4D3D2D1D ... 는 Behavioral 표현 방식과 Data Flow 표현 방식으로 설계 한다.2. 예비 이론(1) 디코더복호기라고도 한다. 디코딩을 수행하는 회로, 장치, 소프트웨어, 알고리즘을 말
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로 설계실험 mux
    Multiplexer 설계1. Introduction1) Encoder와 Decoder의 원리를 이해한다.2) MUX(멀티플렉서)의 작동 원리를 이해한다.3) 8x1 MUX
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 01 논리회로설계실험 결과보고서(And, or gate)
    논리회로설계 실험 결과보고서 #1실험 1. 기본게이트 설계1. 실험 목표VHDL을 이용하여 AND gate와 OR gate를 설계한다.각 게이트를 설계 할 때, 동작적 모델링 ... 진리표에 맞는 논리회로설계하였다. 논리회로 설계 시에는 동작적 모델링과 자료 흐름 모델링 2가지 방법을 이용하여 설계한다. 두 방법을 이용하여 AND, OR 게이트를 설계 ... 를 이용한 설계 결과 X, Y 값의 변화에 따라 F가 OR gate 진리표의 값과 같은 값을 갖는 것을 확인 할 수 있다.실험 2. 진리표를 보고 동작적 모델링과 자료 흐름 모델링
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 04 논리회로설계실험 결과보고서(인코더,디코더)
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 인코더 설계1. 실험 목표디코더 인코더에 대해 이해하고 VDHL을 이용해 설계해본다.이 때, 디코더 설계시에는 동적적 표현(if ... 한다.2. 실험 결과실험 1. 3X8 디코더를 설계하시오.- 진리표ABCD0D1D2D2D4D5D6D70000 ... 에 진리표에 해당하지 않는 입력값이 입력되면 ---로 결과를 표시하는 것도 확인할 수 있다.3. 고찰디코더, 인코더를 설계하는 실험을 진행하였다. 디코더 설계 시에는 동작적 표현
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 12일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감