• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(585)
  • 리포트(551)
  • 자기소개서(29)
  • 시험자료(3)
  • 논문(1)
  • 이력서(1)

"VHDL code" 검색결과 1-20 / 585건

  • 한글파일 VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    코드로 구현한 것이다. ... 문장을 작성했는데 문제가 있어서 이와 같은 방법을 썼다. 98) adder를 거치고 난 후 의 2진수를 temp에 할당했다. 100~110) double dabble 알고리즘을 VHDL ... 우선 for~loop를 통해 m_out에 0번 비트부터 6번 비트까지 할당되도록 코드를 만들었다.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한글파일 VHDL를 이용한 FPGA설계 레포트코드해석본
    VHDL 코드 및 핀 할당 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL ... VHDL CODE 및 핀 할당 ·································· 9 4. ... 코드에서의 문자출력과 사운드 출력간의 하드웨어적인 오버로드를 줄이기 위해 type형 signal을 사용하였습니다.
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 한글파일 VHDL코드를 이용한 4비트 감가산기 구현
    설계 목적 - 디지털 시스템 수업시간에 익힌 내용을 이용하여 감가산기의 원리를 이해하고 VHDL code를 작성하여 설계한다. quartus 8.1를 이용하여 Digcom v3.2에 ... VHDL code --4bit subadder-- library ieee; use ieee.std_logic_1164.all; package mydata is subtype adder_range ... code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 구현하기로 하였다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 파일확장자 전자공학과 논리회로 A 설계 프로젝트 보고서 (VHDL코드포함)
    -각 계산과정마다 overflow가 발생할 수 있으므로 overflow발생지점을 0으로 초기화 하였다.마지막 step에 대한 알고리즘 및 논리 설명2’s complement의 4bit
    리포트 | 6페이지 | 3,000원 | 등록일 2020.12.10
  • 파일확장자 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 따라서 각 4비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드를 4비트 2진코드로 변환하는 일반적인 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 파일확장자 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    요구사항 달성 정도1) 설계측면에서 요구사항 달성 정도 -우리 조는 4*4 keypad모듈에서 정의한 A, B, C, D버튼을 이용하여 비밀번호 재설정 기능을 추가하기로 하였다.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • 파일확장자 키보드로 움직이는 VHDL 로봇팔 제안서,최종보고서,설계포트폴리오,소스코드[DE0보드 사용]
    3. 연구개발 내용 및 범위 먼저, 로봇의 기구부는 알류미늄, 아크릴, 포맥스 등의 작품을 완성하였을 시 내구성이 보장될 수 있도록 강도가 보장되지만 동시에 제작에 용이한 재질을 선택하여 로봇팔의 기구부를 설계한다. 몇가지 재질을 접해본 결과 ‘포맥스’라고 불리는 재..
    리포트 | 25페이지 | 10,000원 | 등록일 2021.02.19 | 수정일 2021.03.04
  • 파일확장자 [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to 16 ... 4 to 16 VHDL Decoder의 코드는 다음과 같다. Input 은 4비트짜리 logic vector w이고 실습 조건에 따라 En도 input으로 추가하였다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • 한글파일 VHDL을 이용한 LED 제어 소스코드
    -1 then clk_100ms ... => if cnt_led2 >= 5 then cnt_led2 := 0; else cnt_led2 := cnt_led2 + 1; end if; LED ... >= 13 then cnt_led1 := 0; else cnt_led1 := cnt_led1 + 1; end if; LED
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.18
  • 파일확장자 VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체적으로 에러를 정정하여 원래의 비트로 되돌려주는 Decoder의 설계를 한다.관련이론 : Hamming code란 ... 해밍이 1940년대 말에 벨 연구소에서 개발하여 1950년 펴낸 저서에 소개한 이 코드는 패리티 검사(Parity Check) 등 보통의 에러 검출 코드들이 에러를 검출할 뿐 교정은 ... 컴퓨터 스스로 데이터 오류를 찾아낼 수 있는 코드로, 수학자 리처드 웨슬리 해밍(Richard Wesley Hamming:1915∼1998)의 이름에서 유래되었다.
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • 한글파일 VHDL을 이용한 Digital Clock(디지털 클락) 코드 및 보고서입니다.
    Countdown과 Additional로서 스위치를 통해 Digital Clock과 Countdown을 선택하고 또 다른 스위치를 누르는 동안 Pause 및 buzzer가 울리는 VHDL ... ---- else css1cnt ... then if css0cnt = "0000" and css1cnt = "0000" and cs0cnt = "0000" and cs1cnt = "0000" and cm0cnt = "
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.23
  • 한글파일 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    Object : CAD tool의 일종인 quartus2를 통해 32-bit CLA의 코드를 직접 짜보고, quatus2의 사용방법을 익히고, Carry-Lookahead Adder에 ... 여기서 각각의 Carry들은 C1=Go+Po*Co, C2=G1+P1*C1,......,Cn+1=Gn+Pn*Cn으로 나타 낼 수 있는데 여기서 두 번째 식의 C1자리에 앞의 방정식을 ... 통해 c _{i+1}을 만드는 연산을 추가적으로 한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • 워드파일 bcd to excess 3 code converter vhdl code
    PAGEREF _Toc194408888 \h 6 Specify the VHDL codes for assignment 1 and describe the codes in detail. ... Contents TOC \o "1-3" \h \z HYPERLINK \l "_Toc194408883" Specify the VHDL codes for assignment 1 and ... PAGEREF _Toc194408886 \h 4 HYPERLINK \l "_Toc194408887" Specify the VHDL codes for assignment 2 and describe
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.27
  • 한글파일 VHDL and, or xor 등 모든 게이트 (소스코드+ 결과 첨부)
    VHDL과 결과 비교 1. and gate and gate VHDL 구문 and gate 결과 2. nand gate nand gate VHDL 구문 nand gate 결과 3. nor ... gate nor gate VHDL 구문 nor gate 결과 4. not gate not gate VHDL 구문 not gate 결과 5. or gate or gate VHDL 구문 ... or gate 결과 6. xor gate xor gate VHDL 구문 xor gate 결과 7. xnor gate xnor gate VHDL 구문 xnor gate 결과 실험결과
    리포트 | 3페이지 | 1,500원 | 등록일 2014.12.11
  • 파일확장자 VHDL을 이용한 DE2보드 Addition, Subtraction 코드
    ; function itov (int : in integer) return std_logic_vector is begin return conv_std_logic_vector(int, ... return "1110000"; elsif(integer2>integer1) then return "1000110"; else return "1110110"; end if; end comp ... (UNSIGNED(digit)); end vtoi; function comp(integer1 : in integer ; integer2:in integer) return std_logic_vector
    리포트 | 1,000원 | 등록일 2011.11.25
  • 워드파일 VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    입력신호가 변할 때마다 실행 process(ex, i) -- excess-3 code convert begin case i is --Prelab에서와 달라진 Source Code ... d : std_logic:='0'; -- save a bit, in excess-3 code –-4bit의 변수를 각 bit별로 내부신호에 저장하기 위해 선언 begin -- enable신호와 ... 실 험 결 과 (Results) 1) Source Code --Prelab 에서의 소스코드와 달라졌다. library IEEE; use IEEE.STD_LOGIC_1164.ALL;
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... ;use ieee.std_logic_unsigned.all;entity Traffic isport(clk: in std_logic;sw_flick : in std_logic;k : ... 실습 목적 : 앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 한글파일 VHDL을 이용한 ALU설계(소스코드포함)
    Substractor select = "0011" ⇒XOR select = "0100" ⇒AND select = "0101" ⇒OR select = "0110" ⇒Multiplier ◎Source code ... 하여 bb로 전달 s_2 ... 8; s_3 --select signal이 0010일때는 substractor 동작 bb
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • 파일확장자 VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료
    개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용)Quartus 4.0(Kit에 HDL 코드를 이식하기 ... Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이다. ... 위한 합성 단계에 이용)UltraEdit(보다 용이한 코드 작성에 이용)SoCMaster-XP100(HDL 설계 자료를 구현하는데 이용)
    리포트 | 5,000원 | 등록일 2012.12.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업