• 통합검색(165)
  • 리포트(130)
  • 자기소개서(33)
  • 시험자료(1)
  • 이력서(1)
EasyAI “VHDL설계및실습” 관련 자료
외 71건 중 선별하여 새로운 문서 초안을 작성해 드립니다
생성하기

"VHDL설계및실습" 검색결과 1-20 / 165건

판매자 표지는 다운로드시 포함되지 않습니다.
  • VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    VHDL실습ReportSR F.F.~12진 카운터제출일2013년 4월 1일최종기한2013년 4월 1일담당교수최 종 성 교수님학과전 자 공 학 과학번2009144029이름 ... 우 경 제1. 실습명 : 4주차 VHDL 실습2. 실습목표 :(1) SR F.F.(2) Gated SR F.F(3) D F.F.(4) JK F.F.(5) Ripple 4-bit ... 고 elsif 라고 사용하고 if(조건) 다음에 then이 붙는다. 마지막으로 if를 마무리하는 end if;문장을 기술하여준다.4. 실습 및 시뮬레이션(1) SR F.F.
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계실습
    VHDL실습ReportMux ~ FND의 설계실습제출일2013년 3월 25일최종기한2013년 3월 25일담당교수최 종 성 교수님학과전 자 공 학 과학번 ... , J, L, o, P, r, S, t, u, y 가 있다.4. 실습 및 시뮬레이션(1) 1비트 2x1 Muxa. Schematic으로 설계b. VHDL으로 설계Y'Z ... 2009144029이름우 경 제1. 실습명 : 3주차 VHDL 수업 실습2. 실습목표 :(a) 1비트 2x1 Mux Schematic & VHDL(b) 2비트 2x1 Mux Schematic
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    VHDL실습카운터 설계 및 시뮬레이션1.서론 및 배경이론(1) SR-F/FSR플립플롭의 회로는 다음과 같이 NAND게이트 두 개로 이루어져있다. /SET에 0 /RESET ... 화한다. 또, 플립플롭을 통과할 때 마다 지연되어 필요 없는 값이 생기게 된다.2.실습 내용(1) 16진 카운터클락의 rising edge에서 값이 변하는 카운터를 설계해 보았다. 16진 ... 카운터 이므로 0에서 15까지 차례대로 수를 증가시키고 15가되면 리셋된다.file-new-VHDL file을 열어 다음과 같이 16진 카운터로 동작할 수 있도록 코드를 짰
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • [모터] 스텝 모터 의 기능 및 장단점 설계 실습(VHDL)
    및 정지 응답성이 양호하다. 초 저속으로 높은 토크( torque ) 운전을 할 수 있으며, 모터 축에 직결하므로써 초저속 동기 회전이 가능하다. DC motor등과 같이 ... 아 저속 회전시 활용.(공장내의 로봇 등에 활용)소스(VHDL이용) p.1library ieee; use ieee.std_logic_1164.all; use ieee.std ... std_logic_vector(3 downto 0); q_r : out std_logic_vector(3 downto 0)); end stepmotor_r;소스(VHDL이용) p
    리포트 | 21페이지 | 1,000원 | 등록일 2005.07.19
  • 판매자 표지 자료 표지
    논리설계 및 실험 11 레포트 (베릴로그 HDL 2)
    실습 용어 및 이론: HDL은 Hardware Description Language의 줄임말이며 FPGA 또는 집적회로를 설계할 때 쓰이는 언어를 뜻한다. 즉 ... Chapter 1. 실험 목적- 지난주 베릴로그 실습에 이어(AND, OR gate 설계) Full Adder을 설계 할 수 있다.Chapter 2. 관련 이론1. Verilog ... 로 구성이 되었으며 모듈단위로 설계한다. VHDL도 회로를 설계할 수 있는 언어이다. 학교에서 FPGA로 설계를 진행 할 때는 HDL을 사용한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2025.01.20
  • 판매자 표지 자료 표지
    성결대 논리회로실습 기말고사
    멀티플렉서 등 조합 논리 회로 설계.순차 논리 회로 설계플립플롭(RS, D, T, JK)을 사용한 레지스터, 카운터 설계.FPGA 및 HDL 실습Verilog 또는 VHDL을 이용 ... 성디지털 설계와 관련된 실질적인 기술을 습득함으로써 취업이나 연구 활동에 도움이 됩니다.주요 실습 주제기초 논리 게이트 실습AND, OR, NOT, NAND, NOR, XOR, XNOR ... 게이트를 이용한 간단한 회로 설계.부울 대수 및 간소화카르노 맵(K-map)을 이용한 논리식 간소화와 그 구현.조합 논리 회로 설계반가산기, 전가산기, 디코더, 멀티플렉서, 디
    시험자료 | 4페이지 | 45,000원 | 등록일 2024.12.06
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    기술, RTL(Register Transfer Level) 기술 및 Gate Level의 기술을 할 수 있다.② VHDL은 특정 Simulator, Technology ... , Manufacturing 및 Process 와 무관하다. 이는 VHDL이 여러 가지의 서로 다른 Simulator, Technology나 Favrication Process로 구현될 수 ... 업계의 지지를 받고 있으므로 VHDL을 사용한 Design은 많은 다른 회사들간의 호환성이 보장된다④ VHDL을이용한 Top-Down 방식의 Design이 가능하여 설계기간이 훨씬
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • Mux&Decoder2차레포트 디지털회로설계
    REPORT기본로직 설계 및 시뮬레이션 검증제출일2020전 공전자공학과 목디지털회로설계학 번.담당교수.이 름.제 1장 서론1-1 1차 레포트의 필요성 및 목적1-2 오늘 실습내용 ... 과 VHDL 설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic ... 실습을 진행하였고 보드에 적용 시켜보았다.실습을하다 schematic 설계의 RTL viewer과 VHDL의 RTL viewer이 좀 많이 다른 것 같았다VHDL 결과는 컴퓨터의 판단에 의한 가장 최적화된 회로를 보여준다고 배웠기에
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 전감산기 verilog 설계
    한 결과와 위에서 빌린 수를 나타내야 한다. 전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL설계하는 방법에 대해 공부한다. 또한 이 실습을 통해서는 if ... 를 나타내라.Verilog, VHLD설계1.전감산기를 Verilog 또는 VHDL설계하고 다음에 코드를 나타내라.시뮬레이션 및 실행 ... 제목전감산기 설계실습 목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야 한다. 그리고 감사
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    HDL및 실습-component 문을 이용한 시계 설계-목차———————————————MUX 2x1 component---------------------------------- ... ---------------------------------------p.13이론적 배경설계 과정실습 결과----------------------------------------- ... 한 코드출력 결과디지털 시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. 시계를 구성하기 위해서는 1초 생성기
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 디지털시스템설계실습 전감산기 결과보고서
    ~elsif~end if형식2. Verilog 또는 VHDL설계한 전감산기를 컴파일 및 시뮬레이션하고, 시뮬레이션 결과를 진리표와 비교한 후 다음에 나타내라.연습문제2. 전감산기 ... 디지털시스템 설계 실습 2주차 결과보고서학과전자공학과학년3학번성명※전감산기 설계(진리표. 논리식. 동작표현)1. 전감산기 연산은 다음과 같다. 이 식은 x에서 y를 빼는 것이 ... 의 논리식에서 기본 게이트를 이용해 전감산기의 블록도를 그려라.1. 전감산기를 Verilog 또는 VHDL설계하고 다음에 코드를 나타내라.① 논리조합회로를 이용② if~then
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    . 배경이론 및 사전조사HDL 기반 설계 방식은 크게 두 가지가 있다. 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL ... 시킬 수 있다. 초기 설계과정에서 오류 수정이 용이하고 합성에 의한 회로 생성 및 설계 변경 역시 쉽다. 또한 상위 수준의 설계가 가능하고 다양한 설계기법 검색에 의해 최적화에 도달 ... 기에 전세계적인 설계정보 교환도 가능하다.Verilog 모듈의 형식은 아래와 같다.module은 module로 시작해서 endmodule 명령어로 끝난다. 명령어 및 선언은 항상
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    전자기초디지털논리설계 10장 과제1. 실습 제목ModelSim을 이용한 VHDL 실습 과제2. 실습 목표: ModelSim을 이용하여 주어진 조건들을 만족하는 4bit full ... adder를 설계 후 테스트벤치 코드를 이용해 시뮬레이션 출력 파형을 구하고 분석할 수 있다.3. 실습 조건조건 1)?1bit fulladder의 동작이 포함되어야 한다.조건 2 ... 설계 시 XOR 연산 사용 금지4. 실습 결과1bit full adder를 먼저 설계한 다음 1bit full adder 4개를 연결하여 4bit full adder를 설계하였다.1
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • ROM&RAM 설계
    HDL및 실습-Signal/Variable, ROM&RAM 설계-목차———————————————Signal 과 Variable----------------------------- ... ROM 설계-----------------------------------------------------p.8이론적 배경VHDL를 이용한 코드출력 결과RAM 설계 -------- ... --------------p.3객체의 종류Signal 과 Variable의 차이점Shift Register 설계--------------------------------------
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    방법으로 각각 설계하고, 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오. (핀은 and게이트 실습과 같은 Button SW와 LED를 사용)i) bit ... operators를 활용하여 설계하는 실습이었다. 이전 실험에서도 이와 같은 실험을 수행하였지만, 그 실험과는 다르게 verilog를 활용해서 수행하는 실험이었다.코딩을 통해 두 ... (실습 6)는 gate primitive 방식으로 설계를 하여 내부적인 구조를 코딩을 하면서 설계를 해준 것과 동일하다고 판단이 된다.허나 이 설계를 bit operators
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    나. Essential Backgrounds (Required theory) for this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 32. Materials & Methods (실험 장비 및 재료와 실험 ... . Introduction (실험에 대한 소개)가. Purpose of this Lab이번 실험에서는 verilog HDL 언어의 기본 사용법을 익힌다. 또한, 디지털 논리를 설계하는 여러가지 ... 방법론인 bit operators, Gate Primitive, Behavioral modeling을 이용한 설계 방법을 학습한다.마지막으로 설계한 로직을 시뮬레이션하기 위한 테스트
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 우선순위 인코더 verilog 설계
    제목인코더 설계실습 목적및 배경인코더는 2^n개의 입력을 받아서 인코딩된n개의 출력을 발생시킨다. 일반적인 인코더의 문제점은 8개의 입력에서 2개 이상의 입력이 ‘1’로 되 ... 하는 우선순위 인코더를 설계해본다.실습 내용실습결과진리표 작성과Schematic설계입력출력d7d6d5d4d3d2d1d0a2a1a0V00000000xxx00*************00001 ... +d6+d5’d4’d3+d5’d4’d2a0 = d7+d6’d5+d6’d4’d3+d6’d4’d2’d1Verilog 설계1.우선순위 인코더를Verilog 또는 VHDL설계하고 다음에 코드를 나타내라.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... 전자전기컴퓨터공학부 설계 및 실험2Pre La-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1. 실험 목적 ... FPGA Chip)4. 실험 방법[실습 1]: Two-input AND 게이트의 설계를 bit operators (비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 디지털시스템설계실습 우선순위인코더 결과보고서
    디지털시스템 설계 실습 3주차 결과보고서학과전자공학과학년3학번성명※ 인코더 설계1. 우선순위 인코더는 입력에 우선순위를 주어 우선순위가 높은 입력만 인코딩하는 인코더이다. 또한 ... 해 우선순위 인코더를 Verillog 또는 VHDL설계하라. 이때if~else(Verillog) 또는 if~end if(VHDL) 형식을 사용한다.2. 설계된 우선순위 인코더 ... 를 컴파일 및 시뮬레이션하고 시뮬레이션 결과를 앞에서 작성한 진리표와 비교하라.연습문제1. 디코더의 입력 비트가 다음 표와 같을 때 필요한 출력은 몇 비트인가?입력비트출력비트42^4
    리포트 | 3페이지 | 1,500원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    ) [실습 1] bit operators를 이용하여 2-input AND 게이트 설계Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 AND Gate ... (LED1)ABX000010100111(2) [실습 2] Gate Primitive를 이용하여 2-input AND 게이트 설계Source codeTestbenchPin ... ), B(Button SW2) / 출력은 X(LED1)ABX000010100111(3) [실습 3] 행위수준 모델링을 이용하여 2-input AND 게이트 설계Source c
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 05월 28일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감