• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(127)
  • 리포트(124)
  • 논문(1)
  • 자기소개서(1)
  • 시험자료(1)

"VHDL구문" 검색결과 1-20 / 127건

  • 한글파일 [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    과제: 4× 1 MUX를 다음의 4가지 구문을 이용하여 VHDL로 설계 하고 시뮬레이션, 합성 하여라. ( IF 문, CASE 문, When~else 문, With~select문 ) ... 이미 컴파일된 VHDL파일을 덧붙여서 run을 하면 합성툴이 회로도의 스키메틱을 그리는 것을 확인 할 수 있었고 각 구문마다 스키메틱이 약간씩 다르다는 것을 확인 할 수 있었다. ... 따라서 각 구문에 따라 게이트 수가 다를 수 있고 Fan-in이 다를 수 있다는 것은 비용과 시간지연과 관련이 있으므로 적절한 여러 구문으로 합성을 하는게 효과적이라고 생각된다. (
    리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • 한글파일 우선순위인코더
    앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL로 설계하시오. [ 단, if ~ end if 구문을 사용 ] library ieee; use ieee.std_logic_1164
    리포트 | 6페이지 | 2,500원 | 등록일 2021.12.15
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    즉 Simulatable한 많은 구문들이 H/W로 만들기 (Synthesis)에는 적합하지 않다는 것이다. ... 이런 구문들은 상당히 높은 Level의 추상적인 개념들을 지니고 있다. ③ Logic Value System에 문제가 있다. ... VHDL - 장점: ① VHDL은 매우 넓은 범위의 Design을 가능하게 해 준다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 ROM&RAM 설계
    VHDL 구문에서 Signal은 선으로 연결되기 때문에 대입기호를 ‘ ... 특히, 본 설계에서 사용하게 될 signal과 variable은 사용에 있어 구문이나, 특징에 있어 차 이를 보이기 때문에 설계의 목적에 맞게 유의해서 사용해야 한다. ... 고찰-------------------------------------------------------------p.14 Signal 과 Variable 객체의 종류 VHDL에서
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 워드파일 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오. ... 추가로 always 구문은 일반적으로 begin~end를 포함하지만 always 구문 내 내용이 한 줄로 끝나는 경우는 begin문을 적지 않는다. ... 또한 always 구문을 이용할 수도 있다. 왼쪽 모델링을 살펴보자. always 구문에서 x와 w1에 값이 저장되므로 reg로 x와 w1을 선언했다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 파일확장자 부경대 전자공학과 디지털시스템설계 중간1(인코더)
    [수행 및 제출(2)]앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL로 설계하시오. ... [단, if~end if 구문을 사용]library ieee;use ieee.std_logic_1164.all;entity incoder is port ( D : in std_logic_vector
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 디시설 - 수 정렬회로 설계
    형식은 41~53행 구문이다. ... 실습 내용 실습 결과 크기 비교기 VHDL코드 - 코드해석 : package를 선언함으로써 사용자 함수나 사용자 데이터 타입을 선언할 수 있으며, VHDL에서는 subtype을 정의할 ... 이를 통해 VHDL 코드를 정상적으로 작성하였다는 것을 알 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    실습 내용 실습 결과 VHDL 코드 - VHDL 코드 주요 동작부분 해석 ① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생하는 것을 검사해 에지에 동기시키고 값을 ... 이는 만약 발생할 수 없는 입력에 대해 위 구문을 추가하지 않으면, 실수 등으 로 동시에 2개 이상의 스위치가 입력됐을 때 잘못된 출력이 발생할 수 있는데 이를 막아주 기 위한 구문이 ... 참고문헌 양영일, 『VHDL을 이용한 디지털 논리회로 설계』, 미래컴(2010) 노승환, 『디지털 시스템 설계 및 실습』, 한빛아카데미(2017)
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.20
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    Always 구문- 시뮬레이션이 실행되는 동안 반복적으로 실행. ... 배경 이론 Verilog HDL과 VHDL의 장단점 (1) HDL (Hardware Description Language) 먼저 Verilog HDL과 VHDL을 포함하는 HDL에 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다. (2) Verilog HDL과 VHDL Verilog HDL과 VHDL
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    lcd_state) – state별 데이터값 설정 State별 동작모드나, 데이터 값을 설정하는 process로, 앞서 설정했던 43개의 state에 어떤 값이 들어갈지를 case 구문을 ... 전달받은 lcd_state를 기준으로 Case~when 구문을 이용하여 작성하는데, 각 state마다 들어갈 데이터 값을 lcd_db에 전달하고 lcd_db는 process 밖에서 ... /" http://www.digital-circuitry.com/Wordpress/hd44780-lcd-display-interfacing-with-altera-fpga-vhdl/
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    따라서 ADC 제어기 시뮬레이션을 위한 ADC Model의 VHDL 설계를 같이 하였다. ADC Model의 기능 1. ... 통행 60초 -> 황색등 5초 case 문을 사용한 횡단보도 제어기의 VHDL 소스 총 4가지의 state를 정의하였다. ... 목 차 번호 내 용 쪽 수 1 State Machine(상태 머신) 개요 1 2 case 구문을 사용한 BCD Counter 만들기 2 3 case 구문을 사용한 GRAY Code
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 한글파일 디시설 - 패리티 발생기, 검사기 설계
    보면 function 구문에서 함수명을 parity로 지정하였고, 입력파라미터 x를 std_logic_vector로 지정해주었다. ... 패리티 검사기 VHDL 코드 - 코드 주요 내용 Procedure : procedure는 VHDL 서브프로그램의 한 가지 형태이며, 로컬 변수와 실행 문장들로 구성된다. procedure는 ... 실습 내용 실습 결과 패리티 발생기 VHDL 코드 - 코드 주요 내용 generic : generic은 파라미터의 값을 결정할 수 있게 하며, 쉽게 수정할 수 있으므로 설계를 쉽게
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 워드파일 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    , VHDL)의 기본 구문과 기능을 학습합니다. ... 예를 들어, Verilog 또는 VHDL과 같은 HDL 언어의 구문과 규칙을 숙지하여 회로를 효과적으로 작성할 수 있어야 합니다. ※ 학사 수준에서는 HDL 언어(예: Verilog ... H D L 코딩에 필요한 기술 HDL 코딩 기술 HDL 언어(예: Verilog, VHDL)을 사용하여 디지털 회로를 기술하는 기술입니다.
    자기소개서 | 8페이지 | 4,000원 | 등록일 2023.06.09
  • 한글파일 디시설 - 인코더, 디코더 설계
    d0이 ‘1’일 유효하다는 것을 알린다. ex) 인코더 “00000001”=>‘000’=>V=1 (유효하다) “입력 없음”=>‘000’=>V=0 (유효하지 않다) if 문 - if 구문은 ... 참고문헌 양영일, 『VHDL을 이) ... 논리형 벡터 선언 - 이전에는 기본 논리 게이트를 VHDL로 설계할 때는 입력과 출력을 모두 비트 단위로 선언 했다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 워드파일 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 추가로 always 구문은 일반적으로 begin~end를 포함하지만 always 구문 내 내용이 한 줄로 끝나는 경우는 begin문을 적지 않는다. ... 또한 always 구문을 이용할 수도 있다. 왼쪽 모델링을 살펴보자. always 구문에서 x와 w1에 값이 저장되므로 reg로 x와 w1을 선언했다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 임베디드 시스템 레포트
    architecture, process 형태로 한개의 VHDL 구문을 형성할 수 있다. - 언어가 복잡하며 정확한 타이밍이나 임계 경로의 계산이 어렵다. - 설계 비용이 적으며 문법구조가 ... HDL(Hardware Description Language) (1) VHDL : 디지털 회로를 설계할 때에 사용하는 하드웨어 기술언어이다. - 구조는 library, entity, ... 재사용이 쉽고 대규모 설계에 용이하다. (2) Verilog : 디지털 회로를 텍스트 형태로 표현하는 등 전자 회로 및 시스템에 사용되는 하드웨어 기술이다. - c언어와 유사하지 않은 VHDL
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 VHDL를 이용한 FPGA설계 레포트코드해석본
    문자출력 상승에지 구문에 넣어 무브가 현재 위치 상태일 때의 클록을 받고 있는 값이 따라오지 않는 문제점을 방지하였습니다. ... VHDL CODE 및 핀 할당 ·································· 9 4. ... FINAL TERM PROJECT Reporting date 2018.06.22 Major 전자공학과 Subject 디지털시스템설계실습VHDL Student ID 5 Professor
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    VHDL: 미 전기학회(IEEE) 표준 HDL, 엄격한 문법 - 미국 국방성을 중심으로 1987년 표준화되었다. ... 시뮬레이션을 위한 파형을 생성할 때에는 for 구문을 사용하여 원활하게 시뮬레이션 되도록 디자인하였다. - Button SW는 누르고 있을 때가 1, 그렇지 않을 때가 0이며 Bus ... . - C와 비슷한 Syntax로, 문장 기술이 VHDL보다 간단하다. - ASIC 개발에 있어서 라이브러리가 충실하다. - 전세계 기업체의 70% 이상이 사용하는 실질적인 업계 표준이다
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 논리회로설계실험 라인트레이서 레포트
    설계 배경 및 목표 1) 설계 배경 지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로를 설계하였다. ... 또한 VHDL로 작성된 코드를 RoV-Lab3000을 사용하여 직접 하드웨어로 구현해 보며 사용법과 주의 사항에 대하여 완벽히 숙지하였다. ... 가운데 센서 부분을 중심으로 좌측 3개의 센서 sen_in[2:0]와 우측 3개의 센서 sen_in[6:4]의 값을 이용하여 좌, 우의 바닥상태 각각의 조건을 충족시켰을 경우를 if 구문
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    , w_enable_reg와 data_out에 따라 reg_file에 데이터값이 들어간다. 5. process(FPGA_RSTB, lcd_state) LCD의 state를 case구문을 ... Source & Results 1)VHDL Source 1-1)Lcd_display 1-2)lcd_test 1-3)data_gen 2)TestBench source 3)Result
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업