• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(8,606)
  • 리포트(7,504)
  • 시험자료(704)
  • 방송통신대(132)
  • 자기소개서(115)
  • 논문(52)
  • 서식(44)
  • ppt테마(29)
  • 이력서(22)
  • 노하우(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"drowning-out/agglomeration (D/A)" 검색결과 81-100 / 8,606건

  • Your leadership a) Traits, Behaviors, Skills b) Match with the environment (at presen
    at which you had leadership responsibilities) c) Orientation toward in-groups and out-groups d ... ommunication to out-groups to maintain overall organisational harmony and integration. d ... volunteers towards common goals without formal authority. c) Orientation toward in-groups and out-groups
    리포트 | 4페이지 | 3,000원 | 등록일 2024.08.16 | 수정일 2024.08.19
  • 충북대 전자회로실험 실험 10 MOSFET 다단 증폭기 예비
    기가 결합된 3단 증폭기와 소신호 등가회로이다. 3단 증폭기의 전압 이득(A _{v}), 입력 저항(R _{"in"}), 출력 저항(R _{out})은 식(10.1)-식(10.3 ... )과 같다.A _{v} =A _{v1} TIMES A _{v2} TIMES A _{v3} =-g _{m1} (R _{D1} DLINE r _{o1} ) TIMES -g _{m2 ... 사인파를 인가한다. Transient 시뮬레이션을 통해서, 입력(v _{"in"})-출력(v _{out}) 전압 특성 그래프를 그리고 전압 이득을 구하시오.A _{v} = {v
    리포트 | 8페이지 | 2,000원 | 등록일 2022.03.03 | 수정일 2022.03.07
  • 논리회로설계실험 2주차 XNOR gate 설계
    modeling은 출력 값을 OUT_XNOR_D로 연결하고 Gate-Level modeling은 OUT_XNOR_G, Behavioral modeling은OUT_XNOR_B로 연결 ... 과 같았다.A=0, B=0 일 경우: OUT = 0⊙0 = 00 + 0’0’ = 0+1 = 1A=0, B=1 일 경우: OUT = 0⊙1 = 01 + 0’1’ = 0+0 = 0A=1 ... , B=0 일 경우: OUT = 1⊙0 = 10 + 1’0’ = 0+0 = 0A=1, B=1 일 경우: OUT = 1⊙1 = 11 + 1’1’ = 1+0 = 1이 결과는 위
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • [방송통신대학교]C프로그래밍_출석수업_대체_과제물
    하시오.① 소스코드② 실행결과창 다음에 주어진 예제 프로그램들을 에 맞게 작성하시오.1. 교재 p28 [예제 1-2] 다음은 C프로그램의 기본 구조인 도입부, main()함수 ... , 호출된 함수()를 살펴보기 위한 프로그램으로 두 수를 입력받아 곱한 값을 출력하는 프로그램이다.① 소스코드② 실행결과창2. 교재 p60 [예제 2-2] 다음은 선행처리기인 ... #define문을 이용한 상수 정의에 대한 예제로, 반지름을 입력받아 원의 면적을 구하는 프로그램이다.① 소스코드② 실행결과창3. 교재 p92 [예제 3-2] 다음은 C 언어에서 제공
    방송통신대 | 11페이지 | 3,000원 | 등록일 2023.04.09
  • 판매자 표지 자료 표지
    아주대학교 물리학실험2 정류회로 결과보고서(A+)
    . 반파정류회로와 비교할 때 그림 20.7의 회로에서 다이오드 D2의 역할은 무엇인가?A : 그림 20.7의 회로는 전파정류회로로서, 반파정류회로와 달리 (-)의 전압이 반전 ... 1. 이 변압기의 2차코일 A1-A2와 1차코일의 권선비는 얼마나 되는가? 또 2차코일 A3-A2와 1차코일의 권선비는?A :{N _{2}} over {N _{1}} ``= {V ... _{2}} over {V _{1}}이다. 즉, 전압비와 권선비는 같으므로 실험 1에서 출력전압과 입력전압의 비로부터 각 코일의 권선비를 구할 수 있다.A1-A2와 1차코일의 권선비
    리포트 | 11페이지 | 1,500원 | 등록일 2022.06.18
  • [경북대학교]자바프로그래밍 2,3장 과제 소스코드
    는 if 문을 사용하여 처리할 것.2. 학점 출력하기(method2() 구현)학생들의 점수를 입력받은 다음 각각의 성적을 A(90-100), B(80-89), C(70-79), D(60 ... [4]);}static void method3(){Scanner scanner = new Scanner(System.in);int a,b,c,d,e,f,cost;System.out ... - (d * 100);e = cost / 50;cost = cost - (e * 50);f = cost / 10;cost = cost - (f * 10);if(a!=0
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.31
  • 디지털시스템설계 hw4
    HW#4문제 4-2번- 코드입력포트 : 없음출력포트 : a, b, c, d, e- 결과 (a)a: 3b: 7c: 9d: 10e: 21A가 blocking문이기 때문에 a가 끝날 ... 때까지 다른 변수들은 기다린다. a이후 b,c는 non-blocking문이기 때문에 둘의 순서와 상관없이 지정된 delay시간 이후 실행되고 b,c 이후에 있는 d 역시 b,c ... 와 관계 없이 지정된 delay 시간 이후 실행된다. E는 blocking문인 d이후 실행된다.- 결과 (b)a: 3b: 4c: 10d: 17e: 18A가 non-blocking문이
    리포트 | 15페이지 | 1,000원 | 등록일 2021.01.07
  • 판매자 표지 자료 표지
    C++ report 문자, 숫자 관련 프로그램
    ;int c[5]={0,};char n[5]={'a','b','c','d','e'};int max=0; char m;cout ... , 해당문자가 10개 입력되면 입력종료)(결과 예) a 또는 A : 1 b 또는 B : 2 c 또는 C : 4 d 또는 D : 2 e 또는 E : 1참조: 실습자료(3 ... [개별실습1] ☞ 임의의 단일 문자(대문자 ,소문자)를 입력받아 각 해당 문자 (a 또는 A) 부터 (e 또는 E)를 분류하여 가장 많이 입력된 문자와 해당 개수를 구한다.(단
    리포트 | 6페이지 | 1,500원 | 등록일 2024.06.13
  • [2023] 방송통신대학교(방통대) 시뮬레이션 과목 중간과제물 만점 리포트
    2023학년도 2학기 중간과제물(온라인 제출용)교과목명:시뮬레이션학번:-성명:-연락처:-※ A4용지 편집 사용Contents TOC \o "1-3" \h \z \u ... -3.out","wt");fprintf(output," SIMULATION FOR A QUEUEING SYSTEM \n\n");fprintf(output,"THE TIME STEP ... 147595494" 결과분석표 PAGEREF _Toc147595494 \h 6조건아래와 같은 조건에서 과제를 수행했습니다.학번: -seed: -사용한 언어: C프로그램아래와 같이 소스코드
    방송통신대 | 6페이지 | 3,500원 | 등록일 2024.01.04
  • 2022 방송통신대 테스트영어연습 중간과제물
    out this week?M:(a) I can't wait to receive it either.(b) By Monday I promise.(c) I'm going to check ... the Christmas message tomorrow.(d) This year, we'll mail out all the Christmas cards.정답) (b)해설) 이번 주 ... fortune.(c) The witch wanted to be a hare.(d) Lucky rabbit feet are lucky for everyone.정답) (b)해설) 이 글을 읽
    방송통신대 | 11페이지 | 10,000원 | 등록일 2022.04.15 | 수정일 2022.05.01
  • 부경대 전자공학과 디지털시스템설계 수업과정 코드들
    1. and-vhdllibrary ieee;use ieee.std_logic_1164.all;entity and_vhdl isport ( A, B : in std_logic;Y ... : out std_logic );end and_vhdl;architecture and_2 of and_vhdl isbeginY ... library ieee;use ieee.std_logic_1164.all;entity mux41 isport ( s1, s0 : in std_logic;d3, d2, d1, d0 : in std_logic;y : out std_logic );end mux41;
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • 판매자 표지 자료 표지
    바리스타 영문 자기소개서
    onnect with people a significant strength, especially in service-oriented roles.As the saying goes, s ... 바리스타 영문 자기소개서1. Background and Personal GrowthMy name is ( ). I’d like to introduce myself to you ... . I was born as the eldest daughter in a family with one brother and four sisters in the village of
    자기소개서 | 2페이지 | 1,000원 | 등록일 2023.09.05
  • 판매자 표지 자료 표지
    디지털 회로 실험-논리함수의 간략화
    : A가 [0]이고 C가 [0]이면 B에 관계없이 출력이 [1]이므로)8) 4변수(그림 2-3) 결과 : OUT=B’D’+CD’+ABC’D(점선묶음 : B가 [0]이고 D가 0이면 A ... ’CD’그림 2-1 2변수 진리표, 카노프 맵 그리고 논리식입력 변수출력카노프 맵간소화된 논리식ABOUTB A01011100OUT=A’001011100110그림 2-2 3변수 진리표 ... [1]의 인접한 셀이 4개 묶이면 2개의 변수가 생략된다.5) 논리 [1]의 인접한 셀이 8개 묶이면 3개의 변수가 생략된다.6) 2변수(그림 2-1) 결과 : OUT=A’(A
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 판매자 표지 자료 표지
    오버로딩과 오버라이딩에 대해서 1~10주까지 진행한 수업내용에서 나온 사례를 들어서 특성을 설명하고 비교하시오.
    void com(int a, int b){System.out.println("매개변수 "+ a + ", " + b);}// 매개변수로 double형 1개인 com 호출void com ... (double d){System.out.println("매개변수 " + d);}}public class OverloadingExample {public static void main ... om();// com(int a, int b) 호출ob.com(5, 10);// com(double d) 호출ob.com(100);// com(double d) 호출ob.com
    리포트 | 5페이지 | 4,000원 | 등록일 2022.09.29
  • 방송통신대 2023년도 2학기 시뮬레이션 중간과제물
    = 0.0;output=fopen("c:\\work\\ex3-3.out","wt");fprintf(output," SIMULATION FOR A QUEUEING SYSTEM \n\n ... 차1. 조건2. 프로그램 소스코드3. 출력결과4. 결과분석표1. 조건(1) 학번 : 013579-24680(2) seed : 24680(3) 사용언어 / 프로그램 : C+ ... + / 비주얼스튜디오2. 프로그램 소스코드※ “seed = 학번 뒷자리” 꼭 변경할 것!// SIMULATION FOR A QUEUEING SYSTEM TO EVALUATE MEAN
    방송통신대 | 7페이지 | 4,000원 | 등록일 2023.10.05
  • 판매자 표지 자료 표지
    현직 교사가 공개하는 인가 국제학교 면접 비법 (실제 기출 질문 포함)
    Degree in Elementary Education and a K-8 teaching certificate. My academic background is rooted in ... experience was a year-long student teaching placement in a first-grade classroom. I began by leading ... uppopositive because I approach challenges with a problem-solving mindset rather than complaining
    자기소개서 | 10페이지 | 5,000원 | 등록일 2025.08.11 | 수정일 2025.08.14
  • 판매자 표지 자료 표지
    전자회로실험1 MOSFET 공통 소스 증폭기 결과보고서 (충북대 및 타 대학교)
    하시오.(8.8)A _{V} ``=``-g _{m} (R _{D} ``||`R _{L} ``||``r _{o} `) 에서,V _{GS} ``=``10 TIMES {2k} over ... } ``=``- {R _{out}} over {{1} over {g _{m}} ``+R _{S}} 에서,V _{TH} =0.5V라 가정 후 Pspice에서 드레인 전류I _{D ... 의 전류는0.164`[ muA]이다.I _{D} ``=`` {1} over {2} ` mu _{n} C _{ox} ` {W} over {L} `(V _{GS} `-`V _{TH
    리포트 | 8페이지 | 1,000원 | 등록일 2022.06.21 | 수정일 2022.12.10
  • 판매자 표지 자료 표지
    Vsim_Pediatric Case 3_Sabina Vasquez
    response to treatment and explain it to the patient and family d) Order a 12-lead echocardiogram (ECG ... 아동 Vism 오답노트1단계: 환자 소개 (Patient Introduction)Sabina Vasquez is a 5-year-old Hispanic girl being s ... healthy. She was seen in the clinic once before at age 4 for a health supervision visit (well-child
    리포트 | 9페이지 | 1,500원 | 등록일 2023.11.26
  • 충북대 전자회로실험 실험 10 MOSFET 다단 증폭기 결과
    _{out1}} over {R _{D`1}} = {11.212-6} over {20k} =261 mu Ag _{m1} = {2I _{D`1}} over {V _{GS`1} -V ... } +R _{G`4}} V _{DD} = {592.2k} over {800k+592.2k} TIMES 11.212=4.77VI _{D`2} = {V _{DD} -V _{out2 ... }} = {2 TIMES 261 TIMES 10 ^{-6}} over {4.77-1.65} =167 mu I _{D`3} = {V _{out3}} over {R _{S}} = {6} over
    리포트 | 7페이지 | 2,000원 | 등록일 2022.03.03 | 수정일 2022.03.08
  • 영어 숙어 문법 오답노트
    로 하는 ?dawn on ~에게 떠오르다 = occur totake it out on somebody …에게 분풀이하다7/9get into hot water 곤경에 처한 = in a ... , arrogant, haughty, supercillious, stuck-up?once in a blue moon 아주 드물게ever more 늘 항상at the moment ... 하다ta 필요 없습니다.on a roll 잘나가는fast-paced 빨리 진행되는without reserve 숨김없이all manner of 온갖 종류의have egg on his
    시험자료 | 209페이지 | 1,500원 | 등록일 2020.11.10
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 11일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감