• 통합검색(4,683)
  • 리포트(4,399)
  • 자기소개서(209)
  • 시험자료(39)
  • 논문(18)
  • 방송통신대(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로실험" 검색결과 601-620 / 4,683건

  • [A+ 예비보고서] 아주대 논리회로실험 실험7 'Shift Register'
    실험7 예비보고서1.실험목적-시프트 레지스터에 대한 동작과 원리를 이해한다.-n-bit 시프트 레지스터를 직접 실험해보고 시프트되는 과정을 관찰한다.2.실험이론●시프트레지스터 ... 마다 Q1값은 Q2로, Q2값은 Q3으로, Q3값은 Q4로, Q4값은 Q1로 순환된다.3.실험장비74HC00 -1-EA74HC76 -3-EA74HC96 -1-EALED -6 ... -EARegister 330Ω -6-EA4.실험방법실험 1 - 6bit shift right register1. PR1,2 : 1, SERIAL DATA : 02. CLR : 0->13. PR
    리포트 | 4페이지 | 1,000원 | 등록일 2015.03.27
  • 논리회로 실험 및 설계
    논리회로 실험 및 설계1. 설계 목적 : 논리회로 이론을 기초로 하여 자판기를 창의적인 방법으로 설계한다.2. 제품 사양 :· 100원 동전, 500원 동전 입력· 200원 커피 ... , 상태회로 구현, 보고서 작성· 김성민 : 우선순위 인코더, 제어기, 물 양 제어기 구현5. 동작 매뉴얼 :· 최대 700원까지 넣을 수 있습니다.· 넣을 돈과 현재 상태의 합 ... 누르지 마세요6. 완성 회로도7. 자체 평가 :반환LED가 들어오는 부분과 초를 맞추기가 힘들어서 생각보다 설계시간이 오래 걸렸다. 좀 더 빨리 했으면 납땜까지 하여 직접 제작을 해
    리포트 | 4페이지 | 1,000원 | 등록일 2009.10.15
  • [아주대] 논리회로실험 2장 결과(CMOS 회로의 전기적 특성)
    나 Low 논리 값으로 정의할 수 없는 출력 값이 나오게 되어 처음 예상했던 결과가 나왔다.< 실험 2 >회로도실제 실험 사진예상 실험 결과 값실제 결과 값실험 2의 회로실험 1 ... The Resulting ReportExperiment 2 ? CMOS 회로의 전기적 특성OBJECTIVES이 실험을 통하여 schmitt-trigger inverter 소자 ... 었다.PROCEDURES & RESULTS< 실험 1 >회로도실제 실험 사진예상 실험 결과 값실제 결과 값이 회로실험 전 미리 작성한 결선도를 보고 만든 뒤에 ch1의 전압 값을 천천히
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.15
  • [아주대] 논리회로실험 2장 예비(CMOS 회로의 전기적 특성)
    time 등 실제 CMOS회로에서의 소자들의 특성을 실험을 통해서 직접 관찰하고 그 이유를 알아본다.RESUME OF THEORYLogic levels & DC noise ... - 오실로스코프, 전압계, 파형발생기, IC소자 74HC04N, SN74HC14[google.co.kr에서 검색]PROCEDURE실험1)이 회로를 bread board에 구성하고 ch1의 전압 ... 값을 천천히 올려가며 오실로스코프의 입출력 전압 값을 관찰하고 다시 천천히 내려가며 관찰한다.실험2)위 회로를 bread board에 구성하고 역시 ch1의 전압 값을 천천히
    리포트 | 9페이지 | 2,000원 | 등록일 2013.09.15 | 수정일 2013.09.25
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. 실험 내용1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단 ... 표시한다.2. 실험 결과1-1) Sourcelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로실험17 동기식 카운터의 설계
    논리회로실험 결과 보고서실험. 동기식 카운터 설계▶ 실험 데이터 및 관찰표17-2 J-K플립 플롭의 천이표현재상태다음상태QcQbQaQcQbQa00 ... xQaQcQb0100x001x111xx10xxKb = Qc Ja = Qc’Qb’ Ka = Qb회로 설계▶ 실험결과 정리.- 이 실험을 통해 동기식 카운터의 설계 방법을 배웠다. 현재상태 ... 를 설계 할 수 있었다.이 번 실험에서는 2진 0-8까지의 순차 회로를 구성하였는데 5와 7은 미사용 상태로 돈캐어 처리를 하였다. 돈캐어 처리를 카르노맵에서 적절하게 이용
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • [A+ 결과보고서] 아주대 논리회로실험 실험1 'Basic Gates'
    으로써 간단한 실험이지만, 앞으로 논리회로실험을 할 때 중요한 내용을 포함하는 실험이었다. 이번 실험에서 사용되었던 IC는 AND, OR, NOT 3종류 뿐이었지만, 이 3종류를 이용 ... +(A’·B)’, Y=A+B, Z=(A’·B)’이고 진리표는 위와 같다.3. 실험에 대한 고찰이번실험논리값 1, 0을 인풋하여 다양한 gate를 거친 아웃풋을 확인하는 실험 ... 에 대한 이해가 부족하고 단순히 이어 붙이면 된다는 생각에서 그런 것 같다.실험2에서는 강의노트대로 회로를 구성하니까 X, Y, Z 모두 잘 들어왔던것같다. 하지만 회로를 구성
    리포트 | 4페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 결과보고서] 아주대 논리회로실험 실험7 'Shift Register'
    right register-예비보고서 결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다. 사실 이 실험은 어떠한 양을 측정하는 실험이 아니고 단순히 회로도에 입력을 가했 ... 을 때, 생기는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서와 이론적인 결과값이 같을 경우에는 실험진행시 회로를 올바르게 구성했다는 것 밖에 분석할 수 없는것같다.실험 ... 가 전혀 상관없이 다르게 나왔다. 실험1에서 SPICE의 예상결과값이 문제가 없었던 것을 감안한다면, 시뮬레이션세팅에서는 문제가 없는 것 같다. 또한 회로도 강의노트에 나와있는데로 구성
    리포트 | 9페이지 | 1,000원 | 등록일 2015.03.27
  • [논리회로설계실험]1bit 비교기 와 4bit 비교기
    1. 실험 내용 1) 1bit 비교기두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로로써 두 입력이 같으면 '1'을 출력하고, 다르면 '0'을 출력하는 회로 ... td_logic ; component comp_1bit -- 이용할 회로 지정 port( a : in std_logic ... ; begin key : comp_1bit -- 지정된 회로에 선을 연결 port map(input_a,input_b,output_eq
    리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 판매자 표지 자료 표지
    [디지털 논리회로 실험] 12장. 동기식 RS 플립플롭 예비레포트
    논리회로실험 A반예비12장비동기식 RS 플립플롭5조이름학번실험일15.05.12제출일15.05.121. 이 장의 실험 목적에 대하여 기술하시오.- 동기식 플립플롭의 동작원리를 이해 ... 한다.- 동기식 RS 플립플롭의 동작원리와 응용회로에 대해 이해한다.- 동기식 JK 플립플롭의 동작원리와 응용회로에 대해 이해한다.2. 비동기식 플립플롭과 동기식 플립플롭의 특징 ... 01?10셋 상태10?01리셋 상태11?XX불확실 상태- 상승모서리 RS 플립플롭 -위 그림과 표는 상승모서리 RS 플립플롭의 회로도, 심벌 및 진리표이다. 상승모서리 RS 플립
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 01 논리회로설계실험 예비보고서(And,or gate)
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표VHDL을 이용하여 AND gate와 OR gate를 설계한다.각 게이트를 설계 할 때, 동작적 모델링 ... logic device, 제조 후 사용자가 내부 논리 회로의 구조를 변경할 수 있는 집적 회로)와 같은 기능을 갖는 논리 블록들과 그것을 서로 연결하여주는 스위치, 행렬 등이 칩 내부 ... . XOR 게이트배타적 OR(Exclusive OR) 게이트의 출력은 두 개의 입력이 반대 논리일 때만 High 가 된다.3. 실험 내용- 실험 1. 2개의 입력을 가진 AND, OR
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 엔코더 설계1. 실험 목표VHDL 코드를 이용해 Decoder, Encoder 비교기를 설계하는 방법을 익힌다.두 비교기 ... 은 코드로 변환해 주는 조합논리회로이다. 인코더는 2의 n승 개의 입력과 n개의 출력을 갖고 있다. 10진 BCD 인코더 10진 인코더 진리표 10진 인코더 내부회로(3) 7 s ... 된 디코더의 회로는 다음과 같다. BCD to 7 segment 회로도3. 실험 내용- 실험 1. 3X8 디코더를 설계하시오(1) 진리표입력출력A2A1A0D7D6D5D4D3D2D1D
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로실험) Decoder / Encoder / 7-segment LED
    decoder를 이해하고 실험을 하는 과정이다 . Quartus II를 이용하여 회로를 구현하고, ModelSim 에서의 파형과 DE2- 115 기기를 이용하여 동작을 확인 ... .2) 입력선에 나타나는 n비트 2진 코드를 최대 2ⁿ 가지 정보로 바꿔 주는 조합논리회로이다.3) 입력 개수에 따라 1 x 2 Decoder , 2 x 4 Decoder- n x ... 입력되면 동작하는 방식이다. 7 - Segment - LED에서 회로를 구현하고 구동하고 결과를 확인함으로써 7 - Segment - LED의 특성을 이해한다. ( 실험목적 2 )
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.06
  • 아주대 논리회로실험 프로젝트 FPGA를 통한 VHDL 구현 프로젝트 - 비밀번호
    는 Appendix에만 기재, vhd 파일은 제출하지 않음)① Shifting function-. Shifting function은 이미 프로젝트 이전 논리회로실험에서 구현해 본 바 ... 에 대한 문제 해결 과정은 coding에 대한 정확한 이해도 같이 동반되었다. 더불어 신호의 저장, shifting, 7 segment 등 기존 논리회로실험에서 실험한 내용들을 직접
    리포트 | 28페이지 | 3,500원 | 등록일 2016.07.09
  • 판매자 표지 자료 표지
    [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    논리회로실험 A반결과8장보수와 병렬 가?감산기5조이름학번실험일15.04.07제출일15.04.14전원전압 4.89V, SN74LS83실험 8.3 2의 보수를 이용한 4비트 2진 가 ... _{2}A _{1} -B _{4}B _{3}B _{2}B _{1}이 된다.실험 8.4. BCD 가산기다음과 같이 BCD 가산기 회로를 결선하라. 또한 표에서 2진수 입력 값을 완성 ... 이 일정한 저항값을 같지 않았기에 측정한 실험마다 모두 다른 결과 값을 갖게 되었다.- 참고문헌 -디지털 논리실험 본 교재 제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html(이미지출처)
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 판매자 표지 자료 표지
    [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 예비레포트
    논리회로실험 A반예비8장보수와 병렬 가BULLET 감산기8조이름학번실험일15.04.07제출일15.04.070. 이 장의 실험목적에 대하여 기술하시오.- 1의 보수 및 2의 보수 ... 에 대하여 알아본다.- 보수에 의한 감산 방법에 대하여 이해한다.- 4비트 병렬 가BULLET 감산기에 대하여 이해하고, 회로를 설계하여 동작을 확인한다.- BCD 가산기에 대하 ... 여 이해하고, 회로를 설계하여 동작을 확인한다.2. 보수를 이용한 감산 방법(1의 보수와 2의 보수)에 대하여 기술하시오.- 보수란?디지털 컴퓨터에서 보수는 어떤 기준이 되는 큰 수
    리포트 | 4페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 판매자 표지 자료 표지
    [디지털 논리회로 실험] 12장. 동기식 RS 플립플롭 결과레포트
    논리회로실험 A반결과12장동기식 RS, JK 플립플롭5조이름학번실험일15.05.12제출일15.05.26실험에 사용된 기기 및 부품 : SN74LS74AN, 직류전원공급장치 ... , 디지털 실험장치, 전압계실험 12.2 7476 IC를 이용한 하강모서리 플립플롭의 동작(1) IC 7476(Dual JK Flip-Flop)을 실험하기 위한 회로도 이다. 회로도 ... ?111010?111101?111110?111101실험 12.3 7476 IC를 이용한 상승모서리 JK 플립플롭 구성(1) IC 7476(Dual JK Flip-Flop)를 이용
    리포트 | 2페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 논리회로 설계실험 mux
    Multiplexer 설계1. Introduction1) Encoder와 Decoder의 원리를 이해한다.2) MUX(멀티플렉서)의 작동 원리를 이해한다.3) 8x1 MUX를 VHDL언어로 구현 할 수 있다.4) 2x1 MUX를 이용하여 8x1 MUX를 구현 할 수 있..
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • [논리회로실험] 드모르간의 법칙
    De Morgan's Theorem목적1. 드모르간법칙을 이용하여 부울 논리식을 수정하여 간단하게 만든다. 목적2. CMOS를 사용하여 논리회로를 구성하고, logic ... tester를 이용하여 드모르간 법칙을 실험적으로 증명한다.드모르간■ 드모르간 [Augustus de Morgan, 1806.06.27~1871.03.18] - 영국의 수학자·논리학자·서지 ... 학자 - 근대적인 대수학 개척자의 한 사람으로 유명 - 특히 논리학적 측면을 개척하여 선각자로서의 역할을 하였으며, 확률론에도 공헌부울대수의 공리와 정리X´Y´=X´+Y´(X´+Y
    리포트 | 12페이지 | 1,500원 | 등록일 2009.05.14
  • 논리회로 실험 결과
    가 High일 때, 5V Low일 때, 0V로 매우 피크한 값을 출력하였다.실험결과 값을 회로도와 비교해 설명하면초기상태 A bit와 B bit 부분의 S0=Low, S1=High이고 ... 는 것을 확인할 수 있었다. 이것은 앞서 실험한 플립플롭 구조의 회로가 조합되어 램의 형태를 이루는 것으로 ME, WE에 의해 Read/Write/Do nothing 등이 결정 ... 실험 8. RAM (Random Access Memory) 결과 보고서실험 1. 2-bit RAMA. 기본동작WriteW1W0ReadBit ABit BABSENSE0SENSE1
    리포트 | 3페이지 | 1,500원 | 등록일 2008.01.17
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감