• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(725)
  • 리포트(633)
  • 시험자료(34)
  • 논문(31)
  • 자기소개서(12)
  • 방송통신대(8)
  • 서식(4)
  • 이력서(3)
판매자 표지는 다운로드시 포함되지 않습니다.

"Logical Operations" 검색결과 401-420 / 725건

  • System design- ebookstore system
    .Regardless of functional decomposition, a detailed, logical representation of the data for an ... regarde E-Bookstore system. The error handling will greatly aid the end-users or customers to operate or
    리포트 | 32페이지 | 3,000원 | 등록일 2011.04.04
  • PSCAD / EMTDC 자료
    voltage 엳 새 lightning strikes, faults or breaker operations? Investigate the pulsing effects of diesel ... 고 있으며, 이 device는 timed fault logic을 통하여 사고 기간을 설정할 수 있다.그림 2-6 Fault Device(6) MetersPSCAD/EMTDC
    리포트 | 22페이지 | 1,000원 | 등록일 2012.07.18
  • Photo-coupler
    이 사용되며 수광소자에는 출력 효율이 좋은 Photo Si,Transistor, High Speed용인 Logic-IC, 그 밖에 Photo Diode, Photo Triac ... 허용 전류치로 규정된다.⑧ 온도조건- Topr(Operating Temperature) : Photo Coupler를 정상동작 시킬수 있는 주위의 온도범위로 규정된다.- Tstg
    리포트 | 5페이지 | 1,500원 | 등록일 2011.05.19
  • 전기전자기초실험 Design Project1 (Digital clock design) 예비보고서
    -operated clock or wristwatch will use this technique instead. It takes more parts, but is generally ... reduced.- Reference♣ Electric Circuit Experiment : Logic Circuit♣ Contemporary Logic Design 2nd ... Edition (Randy H. Katz)♣ Fundamentals of Digital Logic - Vranesic and Brown♣ http://en.wikipedia.org♣ httP
    리포트 | 8페이지 | 1,500원 | 등록일 2009.09.08
  • PLC는 무엇인가?
    upon a custom program, to control??? PROGRAMMABLE LOGIC CONTROLLER (PLC)????the state of devices c ... . The speed and accuracy of the operation can be greatly enhanced using this type of control system ... . But the biggest benefit in using a PLC is the ability to change and replicate the operation or
    리포트 | 5페이지 | 1,500원 | 등록일 2008.12.14
  • [프로그래밍]【A+】프로그램 개발 첫걸음
    의 색상 지정 키워드(Keyword) 주석(Comment) 수(Number) 문자열(String) 연산자(Operator) 그 외 일반 텍스트*교재에서 색상6가지 부류의 색상 지정 ... (Operator) 고동색 그 외 일반 텍스트 main, printf는 검은색*프로그램의 이해주석 소스의 첫 줄에 보이는 /* … */을 주석(Comments) 주석은 프로그램 언어의 문법 ... 가 없으나 원하는 결과가 나오지 않은 것도 하나의 에러 이러한 에러를 논리 에러(Logic Error) 이러한 논리 에러도 결국 소스 코딩을 잘못했기 때문*완전한 프로그램로직 에러
    리포트 | 36페이지 | 1,000원 | 등록일 2011.07.15
  • 라인트레이서
    ground. So, the sensor recognize the line as a high logic value. And the microprocessor orders to the ... devices.And below table is the truth table of 2-PHASE (FULL STEP) OPERATION for SLA7024MSequence ... the right operation of the line tracer. And we used a clock from the LM555 to both step motor to
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.09
  • KNU 기초전기전자 PLC
    로 PLC(programmable Logic Controller)란. 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운터 등을 IC 등의 반도체 소자로 대체시켜 소형화하고 기본적인 ... 면 길는 Relay Logic의 형태와 유사하기 때문에 프로그래밍하기에는 IL언어보다 친밀성을 가지고 있지만 Logic형태의 구문만으로는 일반 프로그래밍 언어가 가지고 있는 수식구문 ... ???CURRENT????? ?????????????????? ? 80mA이하 무부하시???? 150mA이하 라인드라이버출력시,무부하시사용주위온도 ??OPERATING
    리포트 | 7페이지 | 1,000원 | 등록일 2009.01.21
  • Piaget의 인지발달이론
    기(Concrete Operational Stage) 형식적조작기(Formal Operational Stage)감각운동기 (Sensorimotor Stage : 0-2세)반사운동기 ... 의 이동도 이해전조작기(2-7세)점진적인 언어발달, 상징적인 형태로 사고할 수 있는 능력 한 방향으로의 논리(one-way logic) 전체적인 상황보다는 부분적인 상황에 관심
    리포트 | 25페이지 | 2,500원 | 등록일 2010.10.05
  • Managing Cultural Differences ; Individualist vs Collectivist society
    Chinese, collectivist, participants performed best when operating with a group goal and anonymously. They ... performed worst when operating with individually and with their name marked on their work. The ... individualist American participants performed best when operating individually and with their work
    리포트 | 5페이지 | 1,000원 | 등록일 2009.03.23
  • 임베디드시스템설계 팀과제물 샘플
    operating system, but many require so specialized that the entire logic can be implemented as a single ... principle of operation.PWM where is provided from 80196 as 3 provides the wave shape of the
    리포트 | 28페이지 | 4,900원 | 등록일 2009.02.01
  • [JAVA][자바][문자출력][Class선언][CGI연계][프로그래밍언어]JAVA(자바)의 의미, JAVA(자바)의 특성과 JAVA(자바)의 역사 및 JAVA(자바)에서의 문자출력, JAVA(자바)에서의 Class선언, JAVA(자바)에서의 CGI연계
    operators)3) 논리 연산자(logical operators)4) 비교 연산자(comparison operators)5) 조건 연산자(conditional operator)6 ... ) 상수(literal)2) 변수(variable)의 선언3) 변수의 사용5. 연산자1) 대입 연산자(assignment operators)2) 산술 연산자(arithmetic ... ) 문자열 연산자(string operators)Ⅵ. JAVA(자바)에서의 Class선언Ⅶ. JAVA(자바)에서의 CGI연계1. 내용2. 대상3. 인터페이스4. 기본 HTML Form
    리포트 | 16페이지 | 6,500원 | 등록일 2009.07.18
  • 유아교육에 있어서 피아제의 인지발달이론 연구
    시기 유아들은 주위 세계를 표상하기 위해서 언어나 수와 같은 상징을 어떻게 사용하는지를 배운다. 그러나 자신의 관점에서만 주위세계를 이해한다.구체적 조작기 (operational s ... tage)7~11세이 시기 아동들은 논리적 조작을 이해하며 구체적인 대상에 한해서 이들 논리들을 적용할 수 있다.형식적 조작기 (formal operational stage)청년기 ... 적(semi-logical) 개념으로 서서히 발전 중심화 – 전조작기 사고의 한계. 모든 현상의 한 가지 측면만을 고려 직관적 판단액체량 보존 실험유목화 실험나무 구슬이 많
    리포트 | 32페이지 | 2,500원 | 등록일 2010.02.08
  • 마이크로 프로세서 칩조사
    Logic Core: 1.3V for 400MHz, 1.375V for 533MHz- External Memory interface: ROM/SRAM(1.8V/2.5V/3.0V/3.3V ... EJ-S+DSP- Core Operation Cloak: ARM926EJ-S(176Mhz), DSP(100Mhz)- External Memory Interface: NV Memory ... - Supports a Range of Encode, Decode, and Transcode Operations11) H.264, MPEG2, VC1, MPEG4 SP/ASP12
    리포트 | 19페이지 | 1,500원 | 등록일 2010.12.19
  • 컴퓨터 네트워크 보안 확보를 위한 접근통제
    케되거나 어떤 방식으로든 제한되는 수단을 의미한다. 논리적(logical) 접근 통제는 누가 혹은 무엇이 시스템 자원을 사용할 수 있는 지를 제한하는 기술적인 수단이다. 논리적인 ... 되기도 한다. 예를 들어 인적정보를 활용하는 시스템에서는 각 조작자의 이름을 나열하는 대신 operator라는 그룹명을 사용하는 경우도 있다.(2) 역할인적정보에 대한 접근은 조작자에 부여
    리포트 | 8페이지 | 1,500원 | 등록일 2012.09.12
  • An Analysis of LG Electronics International Operatons
    divisions with the digital displays division leading the field. The individual product divisions operate ... further dominate the market. The product divisional structure at LG Electronics is most logic due to ... divisional structure, LG Electronics utilizes geographic divisions to group international operations
    리포트 | 20페이지 | 2,000원 | 등록일 2009.03.24
  • VHDL을 사용한 인스트럭션 수행 simulator 제작
    로 옮겨진다.- Simulation 하고자 하는 인스트럭션 선정Operation Code(4-bit)Source Address Information(14-bit ... -------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC ... _ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity register_32 isPort ( reg_Din : in STD_LOGIC_VECTOR (31
    리포트 | 31페이지 | 2,000원 | 등록일 2008.11.13
  • VHDL - 4_8 Ram, 4_8 Rom, fifo, lifo
    LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;entity conram48 isgeneric ... (Dsize : positive := 8 ; Asize : positive := 4);port(ADDRESS : in std_logic_vector(Asize-1 ... downto 0); DIN : in std_logic_vector(Dsize-1 downto 0); RAM_EN : in std_logic; WEB
    리포트 | 7페이지 | 1,000원 | 등록일 2006.11.04
  • [영문essay] 경영분석/ 데이터 중심 세계의 사업 포트폴리오 최적화 및 의사 결정 관련 논문 과제물입니다.
    products such as skin care, lipstick, fragrance, hair care and other products. The Company operates ... /disprove my logic. This was helpful as it challenged me to think/rethink my processes.I feel that these
    논문 | 25페이지 | 5,000원 | 등록일 2022.02.08
  • 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    Electric Circuit Experiment Result-ReportChapter 8"Basic Logic Circuit Design"DepartmentYearStudnt ... arrangement [3:0] to [0:3] at input, and it operated correctly.module SEGMENT (I, S);input[0:3]I;output[0:6 ... maximum operating frequency when this circuit is run by clock.maximum delay route of 7 segment decoder
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 08일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감